Part Number Hot Search : 
BTA12 BCR42PN CTC2F 2N3906 D401A CSD0106 CTC2F D401A
Product Description
Full Text Search
 

To Download S1X70000 Datasheet File

  If you can't view the Datasheet, Please click here to try to view without PDF Reader .  
 
 


  Datasheet File OCR Text:
  mf1523-03 design guide standard cell / embedded array s1k70000 / S1X70000 series
notice no part of this material may be reproduced or duplicated in any form or by any means without the written permission of seiko epson. seiko epson reserves the right to make changes to this material without notice. seiko epson does not assume any liability of any kind arising out of any inaccuracies contained in this material or due to its application or use in any product or circuit and, further, there is no representation that this material is applicable to products requiring high level reliability, such as medical products. moreover, no license to any intellectual property rights is granted by implication or otherwise, and there is no representation or warranty that anything made in accordance with this material will be free from any patent or copyright infringement of a third party. this material or portions thereof may contain technology or the subject relating to strategic products under the control of the foreign exchange and foreign trade law of japan and may require an export license from the ministry of international trade and industry or other approval from another government agency. ms-dos and windows are registered trademarks of microsoft corporation, u.s.a. pc/at, ps/2, pc-dos, vga, ega and ibm are registered trademarks of international business machines corporation, u.s.a. verilog-xl is a registered trademark of cadence design systems corporation, u.s.a. vss is a registered trademark of synopsys of inc., u.s.a. modelsim is a registered trademark of model technology corp., u.s.a. all other product names mentioned herein are trademarks and/or registered trademarks of their respective owners. ?eiko epson corporation 2003, all rights reserved.
configuration of product number devices s1 k 70843 f 00a0 packing specifications ( * 3) specifications shape ( * 2) model number model name ( * 1) product classification (s1:semiconductors) 00 * 1: model name * 2: shape k standard cell l gate array x embedded array b assembled on board, cob, bga c plastic dip d bare chip f plastic qfp h ceramic dip l ceramic qfp m plastic sop r tab?fp t tape carrier (tab) 2 tsop (standard bent) 3 tsop (reverse bent) ? 3: packing specifications 14th packing specifications 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 9 15th 0 a b c d e f g h j k l m n p q r 9 besides tape & reel tcp bl 2 directions tape & reel back tcp br 2 directions tcp bt 2 directions tcp bd 2 directions tape & reel front tcp bt 4 directions tcp bd 4 directions tcp sl 2 directions tcp sr 2 directions tape & reel left tcp st 2 directions tcp sd 2 directions tcp st 4 directions tcp sd 4 directions tape & reel right specs not fixed
table of contents standard cell s1k70000 series epson i embedded array S1X70000 series s1k/S1X70000 series table of contents chapter 1 overview....................................................................................... 1 1.1 features .................................................................................................................... ............... 1 1.1.1 outline of the s1k/S1X70000 series ...........................................................................1 1.1.2 internal structure of the s1k/S1X70000 series ...........................................................3 1.1.3 structure and types of msis ........................................................................................4 1.1.4 structure and types of input/output buffers................................................................4 1.2 electrical characteristics and specifications ........................................................................... 5 1.2.1 when using 3.3-v input/output buffers (y type) ........................................................5 1.2.2 when using 2.5-v input/output buffers (x type) ......................................................13 1.3 estimating the quiescent current .......................................................................................... 19 1.3.1 quiescent current in the random logic part (i qbc )....................................................19 1.3.2 quiescent current of basic cell-type ram (i qbm )......................................................19 1.3.3 quiescent current of cell based-type ram (i qcm ) ....................................................20 1.3.4 quiescent current of input/output buffers (i qio ).........................................................20 1.3.5 temperature characteristics of quiescent current....................................................22 1.4 product development flow .................................................................................................... 23 chapter 2 estimating the gate density..................................................... 25 2.1 dividing up logic between chips .......................................................................................... 25 2.2 determining gate size ....................................................................................................... .... 26 2.2.1 estimating bulk from the number of pads..................................................................26 2.2.2 estimating the number of gates used in basic cell-type msi cell ..........................27 2.2.3 estimating the number of gates used in cell based-type msi cell.........................30 2.2.4 estimating the number of gates used in basic cell-type ram................................32 2.2.5 estimating the number of gates used in cell based-type ram ..............................32 2.2.6 estimating bulk from the implemented circuit size ...................................................32 2.3 estimating the number of input/output pins.......................................................................... 33 chapter 3 msi cells..................................................................................... 34 3.1 naming rules for msi ........................................................................................................ .... 34 3.2 msi cell types .............................................................................................................. ......... 35 chapter 4 types of input/output buffers and their use......................... 37 4.1 selecting input/output buffers .............................................................................................. .37 4.1.1 naming rules for input/output buffers ......................................................................37 4.1.2 bus-hold circuit.......................................................................................................... 38 4.2 input/output buffers for a single power supply (3.3 v: y type) ........................................... 39 4.2.1 input buffers ............................................................................................................. ..39 4.2.2 output buffers............................................................................................................ .40 4.2.3 bi-directional buffers ..................................................................................................42 4.2.4 fail safe cells........................................................................................................... ..44 4.2.5 gated cells ............................................................................................................... ..46 4.3 dual-power-supply input/output buffers (3.3-v buffers: y type)......................................... 48 4.3.1 input buffers ............................................................................................................. ..48 4.3.2 output buffers............................................................................................................ .50 4.3.3 bi-directional buffers ..................................................................................................54 4.3.4 fail safe cells........................................................................................................... ..59 4.3.5 gated cells ............................................................................................................... ..61 4.3.6 cutoff cells .............................................................................................................. ...62 4.4 single-power-supply input/output buffers (2.5-v buffers: x type) ...................................... 64 4.4.1 input buffers ............................................................................................................. ..64 4.4.2 output buffers............................................................................................................ .64 4.4.3 bi-directional buffers ..................................................................................................67
table of contents ii epson standard cell s1k70000 series embedded array S1X70000 series 4.4.4 fail safe cells ........................................................................................................... .69 4.4.5 gated cells ............................................................................................................... .71 4.5 dual-power-supply input/output buffers (2.5-v buffers: x type) ......................................... 72 4.5.1 input buffers............................................................................................................. .. 72 4.5.2 output buffers ............................................................................................................ 73 4.5.3 bi-directional buffers.................................................................................................. 78 4.5.4 fail safe cells ........................................................................................................... .82 4.5.5 gated cells ............................................................................................................... .84 4.5.6 cutoff cells.............................................................................................................. ... 85 4.6 dual power supplies guidelines ............................................................................................ 87 4.6.1 method of adapting to dual power supplies ............................................................. 87 4.6.2 power supplies for dual power operation ................................................................ 87 4.6.3 turning on/off dual power supplies......................................................................... 88 chapter 5 memory blocks.......................................................................... 89 5.1 basic cell-type ram ......................................................................................................... .... 89 5.1.1 features .................................................................................................................. ... 89 5.1.2 word/bit configurations of ram and cell names ..................................................... 89 5.1.3 ram sizes ................................................................................................................. 91 5.1.4 functional description ............................................................................................... 92 5.1.5 timing charts............................................................................................................. 96 5.1.6 delay parameters ...................................................................................................... 98 5.1.7 power consumption of ram.................................................................................... 146 5.2 high-density-type 1-port ram ............................................................................................ 150 5.2.1 features .................................................................................................................. . 150 5.2.2 ram sizes ............................................................................................................... 15 0 5.2.3 input signals and block diagrams........................................................................... 150 5.2.4 truth table of device operation.............................................................................. 152 5.2.5 timing charts........................................................................................................... 15 3 5.2.6 electrical characteristics.......................................................................................... 154 5.2.7 power consumption................................................................................................. 155 5.3 high-density-type dual-port ram ...................................................................................... 156 5.3.1 features .................................................................................................................. . 156 5.3.2 ram sizes ............................................................................................................... 15 6 5.3.3 input signals and block diagrams........................................................................... 156 5.3.4 truth table of device operation.............................................................................. 159 5.3.5 timing charts........................................................................................................... 16 1 5.3.6 electrical characteristics.......................................................................................... 163 5.3.7 power consumption................................................................................................. 164 5.4 large-capacity-type 1-port ram ........................................................................................ 165 5.4.1 features .................................................................................................................. . 165 5.4.2 ram sizes ............................................................................................................... 16 5 5.4.3 input/output signals and block diagrams ............................................................... 166 5.4.4 truth table of device operation.............................................................................. 167 5.4.5 timing charts........................................................................................................... 16 9 5.4.6 electrical characteristics.......................................................................................... 170 5.4.7 power consumption................................................................................................. 171 5.5 high-density large-capacity-type 1-port ram................................................................... 172 5.5.1 features .................................................................................................................. . 172 5.5.2 ram sizes ............................................................................................................... 17 2 5.5.3 input/output signals and block diagrams ............................................................... 173 5.5.4 truth table of device operation.............................................................................. 174 5.5.5 timing charts........................................................................................................... 17 6 5.5.6 electrical characteristics.......................................................................................... 177 5.5.7 power consumption................................................................................................. 178
table of contents standard cell s1k70000 series epson iii embedded array S1X70000 series 5.6 rom ......................................................................................................................... ............ 179 5.6.1 features.................................................................................................................. ..179 5.6.2 rom sizes................................................................................................................1 79 5.6.3 input/output signals and block diagrams................................................................179 5.6.4 truth table of device operation ..............................................................................180 5.6.5 timing charts ...........................................................................................................18 1 5.6.6 electrical characteristics ..........................................................................................182 5.6.7 power consumption .................................................................................................182 5.7 access to nonexistent addresses inhibited......................................................................... 183 chapter 6 estimating various characteristic values ............................ 184 6.1 calculation of power consumption ...................................................................................... 184 6.1.1 internal cells (p int ).....................................................................................................184 6.1.2 input buffers (p i ) .......................................................................................................186 6.1.3 output buffers (p o )....................................................................................................186 6.1.4 example of calculation of the approximate amount of power consumption ..........187 6.1.5 limitations on power consumption ..........................................................................189 6.2 propagation delay time...................................................................................................... . 191 6.2.1 accuracy of the propagation delay time .................................................................191 6.2.2 calculating the propagation delay time ..................................................................191 6.2.3 virtual wiring capacitance .......................................................................................191 6.2.4 setup and hold times of the flip-flop (ff) .............................................................196 6.3 input/output buffer characteristics (3.3-v buffers: y type) ................................................ 200 6.3.1 input buffer characteristics ......................................................................................200 6.3.2 output buffer characteristics ...................................................................................207 6.4 input/output buffer characteristics (2.5-v buffers: x type) ................................................ 242 6.4.1 input buffer characteristics ......................................................................................242 6.4.2 output buffer characteristics ...................................................................................247 chapter 7 circuit design .......................................................................... 274 7.1 basic circuit configuration................................................................................................. .. 274 7.1.1 inserting input/output buffers...................................................................................274 7.1.2 limitations on logic gate output load ....................................................................274 7.1.3 wired logic forbidden..............................................................................................274 7.1.4 synchronized design recommended ......................................................................275 7.2 use of differentiating circuits forbidden ............................................................................. 276 7.3 clock tree synthesis ........................................................................................................ ... 277 7.3.1 overview.................................................................................................................. .277 7.3.2 design flow ..............................................................................................................2 78 7.3.3 applying clock tree synthesis.................................................................................279 7.3.4 limitations and notes ...............................................................................................281 7.3.5 clock tree synthesis checksheet............................................................................282 7.3.6 attached materials ....................................................................................................284 7.4 designing fast-operating circuits ....................................................................................... 288 7.5 metastable state ............................................................................................................ ...... 289 7.6 configuration of the internal bus.......................................................................................... 2 90 7.7 preventing contention with external buses......................................................................... 292 7.8 oscillation circuits........................................................................................................ ........ 293 7.8.1 configuration of oscillation circuits..........................................................................293 7.8.2 notes regarding the use of oscillation circuits ......................................................295 7.9 hazard protection........................................................................................................... ...... 295 7.10 restrictions and constraints on vhdl/verilog-hdl netlist................................................. 297 7.10.1 common restrictions and constraints .....................................................................297 7.10.2 restrictions and constraints for verilog netlist ........................................................298 7.10.3 restrictions and constraints on vhdl netlist ..........................................................299 7.10.4 description of oscillation cell and ac/dc test circuit cell l1tcir2 .....................299 7.10.5 clock buffer description ...........................................................................................300
table of contents iv epson standard cell s1k70000 series embedded array S1X70000 series 7.11 pin layout and simultaneous operation.............................................................................. 302 7.11.1 estimating the number of power-supply pins......................................................... 302 7.11.2 simultaneous operation and adding power supplies ............................................. 304 7.11.3 cautions and notes regarding the pin layout........................................................ 309 7.11.4 example of the recommended pin layout ............................................................. 315 7.12 about power supply cutoff .................................................................................................. 316 7.12.1 for single-power-supply systems .......................................................................... 316 7.12.2 for dual-power-supply systems............................................................................. 317 chapter 8 circuit design that takes testability into account ............. 319 8.1 consideration regarding circuit initialization....................................................................... 319 8.2 consideration regarding compressing the test patterns................................................... 319 8.3 test circuit which simplifies dc and ac testing................................................................ 319 8.3.1 circuit configuration when output buffers with a test circuit are used ................ 320 8.3.2 circuit configuration when output buffers without a test circuit are used ........... 325 8.4 ram and rom test circuit .................................................................................................. 32 8 8.4.1 basic-cell-type ram............................................................................................... 328 8.4.2 high-density-type 1-port ram ............................................................................... 331 8.4.3 high-density-type dual-port ram .......................................................................... 332 8.4.4 large-capacity-type ram ...................................................................................... 332 8.4.5 mask rom ............................................................................................................... 332 8.5 memory bist design .......................................................................................................... . 334 8.5.1 outline of the memory bist circuit block ............................................................... 334 8.5.2 outline of the memory-bist-circuit test sequence................................................ 335 8.5.3 types of memory suitable for memory bist........................................................... 336 8.5.4 estimating the memory bist circuit size................................................................ 336 8.5.5 about memory bist circuit design ......................................................................... 337 8.5.6 other ..................................................................................................................... ... 338 8.6 function cell test circuits................................................................................................. ... 342 8.6.1 test circuit structures ............................................................................................. 342 8.6.2 test patterns............................................................................................................ 3 43 8.6.3 test circuit data ...................................................................................................... 344 8.7 scan design ................................................................................................................. ........ 345 8.7.1 about the scan circuit ............................................................................................. 345 8.7.2 scan design flow .................................................................................................... 346 8.7.3 design rules............................................................................................................ 34 7 scan design checksheet (1/2)................................................................................................... .... 354 scan design checksheet (2/2)................................................................................................... .... 355 8.8 boundary scan design........................................................................................................ . 356 8.8.1 boundary-scan design flow ................................................................................... 356 8.8.2 instructions.............................................................................................................. . 357 8.8.3 estimating the number of gates.............................................................................. 357 8.8.4 design rules............................................................................................................ 35 7 boundary scan checksheet ....................................................................................................... .... 360 design information sheet ....................................................................................................... ........ 361 chapter 9 test pattern generation.......................................................... 363 9.1 testability consideration ................................................................................................... ... 363 9.2 usable waveform modulations ............................................................................................ 363 9.3 constraints on test patterns ................................................................................................ 364 9.3.1 test rate and event counts.................................................................................... 364 9.3.2 input delay ............................................................................................................... 364 9.3.3 pulse width .............................................................................................................. 3 64 9.3.4 input waveform format ........................................................................................... 364 9.3.5 strobes................................................................................................................... .. 364 9.4 notes regarding dc testing................................................................................................ 36 5 9.5 notes regarding the use of oscillation circuits .................................................................. 366
table of contents standard cell s1k70000 series epson v embedded array S1X70000 series 9.6 regarding ac testing........................................................................................................ .. 368 9.6.1 constraints regarding measurement events...........................................................368 9.6.2 constraints on the measurement location for ac testing.......................................368 9.6.3 constraints regarding the path delay which is tested ..........................................368 9.6.4 other constraints......................................................................................................368 9.7 test patterns constraints for bi-directional pins ................................................................. 368 9.8 notes on device in a high-impedance state ....................................................................... 369
chapter 1 overview standard cell s1k70000 series epson 1 embedded array S1X70000 series chapter 1 overview epson?s s1k70000 series consists of ultr a-high-speed, super-integrated cmos-type standard cells manufactured by the 0.18-m pr ocess. the msi (basic cell type) available in this series in particular may be chos en to create conventional embedded arrays (S1X70000 series). 1.1 features 1.1.1 outline of the s1k/S1X70000 series ? integration 55.3k gates/mm 2 (basic cell type) 75.1k gates/mm 2 (cell-based type) ? operating speed internal gates inv, f/o = 1, v dd = 1.8 v, typ.condition type of transistor basic cell type cell-based type unit standard 1 25.9 26.5 ps standard 2 24.8 24.9 ps high-performance 21.4 23.2 ps low-leakage tbd tbd ps inv, f/o = 1, v dd = 1.5 v, typ.condition type of transistor basic cell type cell-based type unit standard 1 33.7 34.4 ps standard 2 30.5 30.2 ps high-performance 25.5 27.2 ps low-leakage tbd tbd ps na2, f/o = 1, v dd = 1.8 v, typ.condition type of transistor basic cell type cell-based type unit standard 1 43.6 38.9 ps standard 2 43.2 38.8 ps high-performance 36.0 33.1 ps low-leakage tbd tbd ps na2, f/o = 1, v dd = 1.5 v, typ.condition type of transistor basic cell type cell-based type unit standard 1 57.7 51.6 ps standard 2 53.7 48.2 ps high-performance 43.3 39.9 ps low-leakage tbd tbd ps
chapter 1 overview 2 epson standard cell s1k70000 series embedded array S1X70000 series ! input buffers f/o = 2, standard wiring load, typ. condition operating speed voltage 3.3-v input buffer (y type) 2.5-v input buffer (x type) unit 3.3 v/1.8 v 181 D ps 2.5 v/1.8 v 193 152 ps 1.8 v 255 191 ps 1.5 v 307 239 ps ! output buffers cl = 15pf, typ.condition operating speed voltage 3.3-v input buffer (y type) 2.5-v input buffer (x type) unit 3.3 v/1.8 v 1.51 D ns 2.5 v/1.8 v 1.67 1.28 ns 1.8 v 2.12 1.73 ns 1.5 v 2.71 2.21 ns ? process 0.18 m, 3/4/5/6-layered metalization ? interface levels ! 3.3-v buffers (y type) lvcmos-, lvttl-compatible ! 2.5-v buffers (x type) lvcmos-compatible ? input modes ! 3.3-v buffers (y type) lvcmos, lvttl, lvcmos schmitt pci-3 v, gated input, fail safe input may be provided with internal pull-up and pull-down resistors (two resistance values for each) ! 2.5-v buffers (x type) lvcmos, lvcmos schmitt gated input, fail safe input may be provided with internal pull-up and pull-down resistors (two resistance values for each) ? output modes normal, 3-state, bi-directional, and fail safe outputs
chapter 1 overview standard cell s1k70000 series epson 3 embedded array S1X70000 series ? drive output ! 3.3-v buffers (y type) i ol = 2, 4, 8, or 12 ma selectable (hv dd = 3.3 v) i ol = 1.5, 3, 6, or 9 ma selectable (hv dd = 2.5 v) i ol = 1, 2, 4, or 6 ma selectable (v dd = 1.8 v) i ol = 0.75, 1.5,3, or 4.5 ma selectable (v dd = 1.5 v) ! 2.5-v buffers (x type) i ol = 2, 4, 8, or 12 ma selectable (hv dd = 2.5 v) i ol = 1.5, 3, 6, or 9 ma selectable (v dd = 1.8 v) i ol = 1, 2, 4, or 6 ma selectable (v dd = 1.5 v) ? memory ! basic cell-type ram synchronous, 1 port; synchronous, 2 ports ! high-density-type ram synchronous, 1 port; synchronous, dual ports ! large capacity-type ram synchronous, 1 port ! rom synchronous ? built-in level shifter for operation with dual supply voltages internal logic: operates with low voltage input/output buffers: can be interfaced with high and low voltages 1.1.2 internal structure of the s1k/S1X70000 series the s1k/S1X70000 series is constructed with an msi cell area and an input/output buffer circuit area, as shown in figure 1-1. large- capacity ram ram rom msi cell area input/output buffer circuit area figure 1-1 outline structure of the s1k/S1X70000 series
chapter 1 overview 4 epson standard cell s1k70000 series embedded array S1X70000 series various msi cells and memory blocks can be located in the msi cell area, depending on the desired circuit. these cells can be interconnected in order to implement the desired circuit. the input/output buffer area contains input buffers, output buffers, bi-directional buffers, and power-supply cells. in this area, signals are exchanged between external circuits and the units of the s1k70000 series. 1.1.3 structure and types of msis the s1k70000 series is available in two types: basic cell-type msi for e/a (embedded arrays S1X70000 series) and cell based-type msi for s/c (standard cells). embedded arrays (e/a) excel in that they feature a short development period and allow circuit changes to be responded easily, wh ile the standard cells (s/c) feature high integration and low power consumption. either type can be selected in accordance with customer needs (however, these two types of msis cannot be used at the same time). furthermore, four msi libraries are available to choose from: a standard 1 library suitable for low-leakage-current (quiescent current) applications, a standard 2 library suitable for applications that require high-speed operation, a high-performance library suitable for applications that require ultr a-high-speed operation, and a low-leakage library suitable for ultra-low-leakage current (quiescent current) applications. this wide availability enables the selection of a librar y best suited to customer needs (however, these four libraries basically cannot be used in combination). memory is also available in various types in addition to the basic cell-type ram (standard 1 type, high-performance type, and low-leakage type). these include a highly integrated cell based-type ram (with 1 port, 2 ports, or 1 large-capacity port, all of which are available only for the standard 1 type) and a rom (only for standard 1). the most suitable memory type can be selected in accordance with customer needs. for details on msi cell types, refer to chapter 3, ?msi cells.? for details on memory, refer to chapter 5, ?memory block.? 1.1.4 structure and types of input/output buffers two types of input/output buffers are available for the s1k/S1X70000: 3.3-v input buffers (y type) designed to enable high-speed 3.3-v interfacing, and 2.5-v input/output buffers (x type) designed to allow for high-speed 2.5-v interfacing. one of these two types can be selected in accordance with customer needs (however, the y and x types cannot be used in combination). for details on input/output buffers, refer to chapter 4, ?types of input/output buffers and their use.?
chapter 1 overview standard cell s1k70000 series epson 5 embedded array S1X70000 series 1.2 electrical characteristics and specifications 1.2.1 when using 3.3-v input/output buffers (y type) table 1-1 absolute maximum ratings (for a single power supply) (v ss = 0 [v]) parameter symbol limits unit power-supply voltage v dd -0.3 to +2.5 input voltage v i -0.3 to v dd + 0.5 *1 output voltage v o -0.3 to v dd + 0.5 *1 output current/pin i out 10 ma storage temperature t stg -65 to +150 c notes *1: possible to use -0.3 v to +4.0 v of n c hannel open drain bi-directional bu ffers, input buffers, and fail safe cells. table 1-2 absolute maximum ratings (for dual power supplies) (v ss = 0 [v]) parameter symbol limits unit hv dd *3 -0.3 to +4.0 power-supply voltage lv dd *3 -0.3 to +2.5 hv i -0.3 to hv dd + 0.5 *1 input voltage lv i -0.3 to lv dd + 0.5 *2 hv o -0.3 to hv dd + 0.5 *1 output voltage lv o -0.3 to lv dd + 0.5 *2 output current/pin i out 10 ma storage temperature t stg -65 to +150 c notes *1: possible to use -0.3 v to +4.0 v of n channel open drain bi-directional buffers and input buffers. *2: possible to use -0.3 v to +4.0 v of n channe l open drain bi-directional bu ffers, input buffers, and fail safe cells. *3: hv dd lv dd
chapter 1 overview 6 epson standard cell s1k70000 series embedded array S1X70000 series table 1-3 recommended operating conditions (for a single power supply at v dd = 1.8 v) (v ss = 0 [v]) parameter symbol min. typ. max. unit power-supply voltage v dd 1.65 1.80 1.95 v input voltage v i v ss ? v dd *1 v 0 25 70 *2 ambient temperature t a -40 25 85 *3 c normal input rising time t ri ? ? 50 ns normal input falling time t fa ? ? 50 ns schmitt input rising time t ri ? ? 5 ms schmitt input falling time t fa ? ? 5 ms notes *1: possible to use up to 3.6 v of n channel open drain bi-directional buffer s, input buffers, and fail safe cells. *2: the ambient temperature range is recommended for t j = 0 to +85 [c]. *3: the ambient temperature range is recommended for t j = -40 to +125 [c]. table 1-4 recommended operating conditions (for a single power supply at v dd = 1.5 v) (v ss = 0 [v]) parameter symbol min. typ. max. unit input voltage v dd 1.40 1.50 1.60 v power-supply voltage v i v ss ? v dd *1 v 0 25 70 *2 ambient temperature t a -40 25 85 *3 c normal input rising time t ri ? ? 50 ns normal input falling time t fa ? ? 50 ns schmitt input rising time t ri ? ? 5 ms schmitt input falling time t fa ? ? 5 ms notes *1: possible to use up to 3.6 v of n channel open drain bi-directional buffer s, input buffers, and fail safe cells. *2: the ambient temperature range is recommended for t j = 0 to +85 [c]. *3: the ambient temperature range is recommended for t j = -40 to +125 [c].
chapter 1 overview standard cell s1k70000 series epson 7 embedded array S1X70000 series table 1-5 recommended operating conditions (for dual power supplies) (v ss = 0 [v]) parameter symbol min. typ. max. unit power-supply voltage (high voltage) hv dd 3.00 3.30 3.60 v power-supply voltage (low voltage) lv dd 1.65 1.80 1.95 hv i v ss ? hv dd *1 v input voltage lv i v ss ? lv dd *2 v 0 25 70 *3 ambient temperature t a -40 25 85 *4 c normal input rising time t ri ? ? 50 ns normal input falling time t fa ? ? 50 ns schmitt input rising time t ri ? ? 5 ms schmitt input falling time t ra ? ? 5 ms notes *1: possible to use up to 3.6 v of n channel open drain bi-directional buff ers and input buffers. *2: possible to use up to 3.6 v of n channel open dr ain bi-directional buffer s, input buffers, and fail safe cells. *3: the ambient temperature range is recommended for t j = 0 to +85[c]. *4: the ambient temperature range is recommended for t j = -40 to +125[c]. table 1-6 recommended operating conditions (for dual power supplies) (v ss = 0 [v]) parameter symbol min. typ. max. unit power-supply voltage (high voltage) hv dd 3.00 3.30 3.60 v power-supply voltage (low voltage) lv dd 1.40 1.50 1.60 hv i v ss ? hv dd *1 v input voltage lv i v ss ? lv dd *2 v 0 25 70 *3 ambient temperature t a -40 25 85 *4 c normal input rising time t ri ? ? 50 ns normal input falling time t ra ? ? 50 ns schmitt input rising time t ri ? ? 5 ms schmitt input falling time t ra ? ? 5 ms notes *1: possible to use up to 3.6 v of n channel open drain bi-directional buff ers and input buffers. *2: possible to use up to 3.6 v of n channel open dr ain bi-directional buffer s, input buffers, and fail safe cells. *3: the ambient temperature range is recommended for t j = 0 to +85[c]. *4: the ambient temperature range is recommended for t j = -40 to +125[c].
chapter 1 overview 8 epson standard cell s1k70000 series embedded array S1X70000 series table 1-7 electrical characteristics (hv dd = 3.3 v 0.3 v, v ss = 0 v, t a = -40 to +85 c) parameter symbol conditions min. typ. max. unit input leakage current i li ? -5 ? 5 a off state leakage current i oz ? -5 ? 5 a high level output voltage v oh i oh = -2 ma (type 1), -4 ma (type 2) -8 ma (type 3), -12 ma (type 4) hv dd = min. hv dd -0.4 ? ? v low level output voltage v ol i ol = 2 ma (type 1), 4 ma (type 2) 8 ma (type 3), 12 ma (type 4) hv dd = min. ? ? 0.4 v high level input voltage v ih1 lvcmos level, hv dd = max. 2.2 ? ? v low level input voltage v il1 lvcmos level, hv dd = min. ? 0.8 high level input voltage v t1+ lvcmos schmitt 1.4 ? 2.7 v low level input voltage v t1- lvcmos schmitt 0.6 ? 1.8 hysteresis voltage v h1 lvcmos schmitt 0.3 ? ? v high level input voltage v ih2 lvttl level, hv dd = max 2.0 ? ? low level input voltage v il2 lvttl level, hv dd = min ? ? 0.8 v high level input voltage v ih3 pci level, hv dd = max 1.8 ? ? low level input voltage v il3 pci level, hv dd = min ? ? 0.9 v type 1 25 50 120 k ? pull-up resistance p pu v i = 0 v type 2 50 100 240 k ? type 1 25 50 120 k ? pull-down resistance p pd v i = hv dd type 2 50 100 240 k ? high level output current *1 i oh3 pci v oh = 0.90 v, hv dd = min. response v oh = 2.52 v, hv dd = max. -36 ? ? ? ? -115 ma low level output current *1 i ol3 pci v ol = 1.80 v, hv dd = min. response v ol = 0.65 v, hv dd = max. 48 ? ? ? ? 137 ma high level maintenance current i bhh bus hold v in = 2.0 v response hv dd = min. ? ? -20 a low level maintenance current i bhl bus hold v in = 0.8 v response hv dd = min. ? ? 17 a high level reversal current i bhho bus hold v in = 0.8 v response hv dd = max. -350 ? ? a low level reversal current i bhlo bus hold v in = 2.0 v response hv dd = max. 300 ? ? a input terminal capacitance c i f = 1 mhz, hv dd = 0 v ? ? 8 pf output terminal capacitance c o f = 1 mhz, hv dd = 0 v ? ? 8 pf input/output terminal capacitance c io f = 1 mhz, hv dd = 0 v ? ? 8 pf notes *1: compliant with pci standard rev. 2.2
chapter 1 overview standard cell s1k70000 series epson 9 embedded array S1X70000 series table 1-8 recommended operating conditions (for dual power supplies) (v ss = 0 [v]) parameter symbol min. typ. max. unit power-supply voltage (high voltage) hv dd 2.30 2.50 2.70 v power-supply voltage (low voltage) lv dd 1.65 1.80 1.95 hv i v ss ? hv dd *1 v input voltage lv i v ss ? lv dd *2 v 0 25 70 *3 ambient temperature t a -40 25 85 *4 c normal input rising time t ri ? ? 50 ns normal input falling time t ra ? ? 50 ns schmitt input rising time t ri ? ? 5 ms schmitt input falling time t ra ? ? 5 ms notes *1: possible to use up to 3.6 v of n channel open drain bi-directional bu ffers and input buffers. *2: possible to use up to 3.6 v of n channel open dr ain bi-directional buffer s, input buffers, and fail safe cells. *3: the ambient temperature range is recommended for t j = 0 to +85[c]. *4: the ambient temperature range is recommended for t j = -40 to +125[c]. table 1-9 recommended operating conditions (for dual power supplies) (v ss = 0 [v]) parameter symbol min. typ. max. unit power-supply voltage (high voltage) hv dd 2.30 2.50 2.70 v power-supply voltage (low voltage) lv dd 1.40 1.50 1.60 hv i v ss ? hv dd *1 v input voltage lv i v ss ? lv dd *2 v 0 25 70 *3 ambient temperature t a -40 25 85 *4 c normal input rising time t ri ? ? 50 ns normal input falling time t ra ? ? 50 ns schmitt input rising time t ri ? ? 5 ms schmitt input falling time t ra ? ? 5 ms notes *1: possible to use up to 3.6 v of n channel open drain bi-directional bu ffers and input buffers. *2: possible to use up to 3.6 v of n channel open dr ain bi-directional buffer s, input buffers, and fail safe cells. *3: the ambient temperature range is recommended for t j = 0 to +85[c]. *4: the ambient temperature range is recommended for t j = -40 to +125[c].
chapter 1 overview 10 epson standard cell s1k70000 series embedded array S1X70000 series table 1-10 electrical characteristics (hv dd = 2.5 v 0.2 v, v ss = 0 v, t a = -40 to +85 c) parameter symbol conditions min. typ. max. unit input leakage current i li ? -5 ? 5 a off state leakage current i oz ? -5 ? 5 a high level output voltage v oh i oh = -1.5 ma (type 1), -3 ma (type 2) -6 ma (type 3), -9 ma (type 4) hv dd = min. hv dd -0.4 ? ? v low level output voltage v ol i ol = 1.5 ma (type 1), 3 ma (type 2) 6 ma (type 3), 9 ma (type 4) hv dd = min. ? ? 0.4 v high level input voltage v ih1 lvcmos level, hv dd = max. 1.7 ? ? v low level input voltage v il1 lvcmos level, hv dd = min. ? ? 0.7 high level input voltage v t1+ lvcmos schmitt 0.8 ? 1.9 v low level input voltage v t1- lvcmos schmitt 0.5 ? 1.3 hysteresis voltage v h1 lvcmos schmitt 0.1 ? v type 1 35 70 175 k ? pull-up resistance p pu v i = 0 v type 2 70 140 350 k ? type 1 35 70 175 k ? pull-down resistance p pd v i = hv dd type 2 70 140 350 k ? high level maintenance current i bhh bus hold v in = 1.7 v response hv dd = min. ? ? -5 a low level maintenance current i bhl bus hold v in = 0.7 v response hv dd = min. ? ? 5 a high level reversal current i bhho bus hold v in = 0.7 v response hv dd = max. -280 ? ? a low level reversal current i bhlo bus hold v in = 1.7 v response hv dd = max. 240 ? ? a input terminal capacitance c i f = 1 mhz, hv dd = 0 v ? ? 8 pf output terminal capacitance c o f = 1 mhz, hv dd = 0 v ? ? 8 pf input/output terminal capacitance c io f = 1 mhz, hv dd = 0 v ? ? 8 pf
chapter 1 overview standard cell s1k70000 series epson 11 embedded array S1X70000 series table 1-11 electrical characteristics (v dd or lv dd = 1.8 v 0.15 v, v ss = 0 v, t a = -40 to +85 c) parameter symbol conditions min. typ. max. unit input leakage current i li ? -5 ? 5 a off state leakage current i oz ? -5 ? 5 a high level output voltage v oh i oh = -1 ma (type 1), -2 ma (type 2) -4 ma (type 3), -6 ma (type 4) v dd = min. v dd -0.4 ? ? v low level output voltage v ol i ol = 1 ma (type 1), 2 ma (type 2) 4 ma (type 3), 6 ma (type 4) v dd = min. ? ? 0.4 v high level input voltage v ih1 lvcmos level, v dd = max. 1.27 ? ? v low level input voltage v il1 lvcmos level, v dd = min. ? ? 0.57 high level input voltage v t1+ lvcmos schmitt 0.6 ? 1.4 v low level input voltage v t1- lvcmos schmitt 0.3 ? 1.1 hysteresis voltage v h1 lvcmos schmitt 0.02 ? ? v type 1 24 60 150 k ? pull-up resistance p pu v i = 0 v type 2 48 120 300 k ? type 1 24 60 150 k ? pull-down resistance p pd v i = v dd or lv dd type 2 48 120 300 k ? high level maintenance current i bhh bus hold v in = 1.27 v response v dd = min. ? ? -2 a low level maintenance current i bhl bus hold v in = 0.57 v response v dd = min. ? ? 2 a high level reversal current i bhho bus hold v in = 0.57 v response v dd = max. -100 ? ? a low level reversal current i bhlo bus hold v in = 1.27 v response v dd = max. 100 ? ? a input terminal capacitance c i f = 1 mhz, v dd = 0 v ? ? 8 pf output terminal capacitance c o f = 1 mhz, v dd = 0 v ? ? 8 pf input/output terminal capacitance c io f = 1 mhz, v dd = 0 v ? ? 8 pf
chapter 1 overview 12 epson standard cell s1k70000 series embedded array S1X70000 series table 1-12 electrical characteristics (v dd or lv dd = 1.8 v 0.15 v, v ss = 0 v, t a = -40 to +85 c) parameter symbol conditions min. typ. max. unit input leakage current i li ? -5 ? 5 a off state leakage current i oz ? -5 ? 5 a high level output voltage v oh i oh = -0.75 ma (type 1), -1.5 ma (type 2) -3 ma (type 3), -4.5 ma (type 4) v dd = min. v dd -0.4 ? ? v low level output voltage v ol i ol = 0.75 ma (type 1), 1.5 ma (type 2) 3 ma (type 3), 4.5 ma (type 4) v dd = min. ? ? 0.4 v high level input voltage v ih1 lvcmos level, v dd = max. 1.04 ? ? v low level input voltage v il1 lvcmos level, v dd = min. ? ? 0.49 high level input voltage v t1+ lvcmos schmitt 0.5 ? 1.1 v low level input voltage v t1- lvcmos schmitt 0.2 ? 1.0 hysteresis voltage v h1 lvcmos schmitt 0.01 ? ? v type 1 36 90 234 k ? pull-up resistance p pu v i = 0 v type 2 72 180 468 k ? type 1 36 90 234 k ? pull-down resistance p pd v i = v dd or lv dd type 2 72 180 468 k ? high level maintenance current i bhh bus hold v in = 1.04 v response v dd = min. ? ? -2 a low level maintenance current i bhl bus hold v in = 0.49 v response v dd = min. ? ? 2 a high level reversal current i bhho bus hold v in = 0.49 v response v dd = max. -80 ? ? a low level reversal current i bhlo bus hold v in = 1.04 v response v dd = max. 80 ? ? a input terminal capacitance c i f = 1 mhz, v dd = 0 v ? ? 8 pf output terminal capacitance c o f = 1 mhz, v dd = 0 v ? ? 8 pf input/output terminal capacitance c io f = 1 mhz, v dd = 0 v ? ? 8 pf
chapter 1 overview standard cell s1k70000 series epson 13 embedded array S1X70000 series 1.2.2 when using 2.5-v input/output buffers (x type) table 1-13 absolute maximum ratings (for a single power supply) (v ss = 0 [v]) parameter symbol limits unit power-supply voltage v dd -0.3 to +2.5 input voltage v i -0.3 to v dd + 0.5 *1 output voltage v o -0.3 to v dd + 0.5 *1 output current/pin i out 10 ma storage temperature t stg -65 to +150 c notes *1: possible to use -0.3 v to +3.0 v of n c hannel open drain bi-directional bu ffers, input buffers, and fail safe cells. table 1-14 absolute maximum ratings (for dual power supplies) (v ss = 0 [v]) parameter symbol limits unit hv dd *3 -0.3 to +3.0 power-supply voltage lv dd *3 -0.3 to +2.5 hv i -0.3 to hv dd + 0.5 *1 input voltage lv i -0.3 to lv dd + 0.5 *2 hv o -0.3 to hv dd + 0.5 *1 output voltage lv o -0.3 to lv dd + 0.5 *2 output current/pin i out 10 ma storage temperature t stg -65 to +150 c notes *1: possible to use -0.3 v to +3.0 v of n channel open drain bi-directional buffers and input buffers. *2: possible to use -0.3 v to +3.0 v of n channe l open drain bi-directional bu ffers, input buffers, and fail safe cells. *3: hv dd lv dd
chapter 1 overview 14 epson standard cell s1k70000 series embedded array S1X70000 series table 1-15 recommended operating conditions (for a single power supply at v dd = 1.8 v) (v ss = 0 [v]) parameter symbol min. typ. max. unit power-supply voltage v dd 1.65 1.80 1.95 v input voltage v i v ss ? v dd *1 v 0 25 70 *2 ambient temperature t a -40 25 85 *3 c normal input rising time t ri ? ? 50 ns normal input falling time t fa ? ? 50 ns schmitt input rising time t ri ? ? 5 ms schmitt input falling time t fa ? ? 5 ms notes *1: possible to use up to 2.7 v of n channel open drain bi-directional buffer s, input buffers, and fail safe cells. *2: the ambient temperature range is recommended for t j = 0 to +85[c]. *3: the ambient temperature range is recommended for t j = -40 to +125[c]. table 1-16 recommended operating conditions (for a single power supply at v dd = 1.5 v) (v ss = 0 [v]) parameter symbol min. typ. max. unit power-supply voltage v dd 1.40 1.50 1.60 v input voltage v i v ss ? v dd *1 v 0 25 70 *2 ambient temperature t a -40 25 85 *3 c normal input rising time t ri ? ? 50 ns normal input falling time t fa ? ? 50 ns schmitt input rising time t ri ? ? 5 ms schmitt input falling time t fa ? ? 5 ms notes *1: possible to use up to 2.7 v of n channel open drain bi-directional buffer s, input buffers, and fail safe cells. *2: the ambient temperature range is recommended for t j = 0 to +85[c]. *3: the ambient temperature range is recommended for t j = -40 to +125[c].
chapter 1 overview standard cell s1k70000 series epson 15 embedded array S1X70000 series table 1-17 recommended operating conditions (for dual power supplies) (v ss = 0 [v]) parameter symbol min. typ. max. unit power-supply voltage (high voltage) hv dd 2.30 2.50 2.70 v power-supply voltage (low voltage) lv dd 1.65 1.80 1.95 hv i v ss ? hv dd *1 v input voltage lv i v ss ? lv dd *2 v 0 25 70 *3 ambient temperature t a -40 25 85 *4 c normal input rising time t ri ? ? 50 ns normal input falling time t fa ? ? 50 ns schmitt input rising time t ri ? ? 5 ms schmitt input falling time t ra ? ? 5 ms notes *1: possible to use up to 2.7 v of n channel open drain bi-directional bu ffers and input buffers. *2: possible to use up to 2.7 v of n channel open dr ain bi-directional buffer s, input buffers, and fail safe cells. *3: the ambient temperature range is recommended for t j = 0 to +85[c]. *4: the ambient temperature range is recommended for t j = -40 to +125[c]. table 1-18 recommended operating conditions (for dual power supplies) (v ss = 0 [v]) parameter symbol min. typ. max. unit power-supply voltage (high voltage) hv dd 2.30 2.50 2.70 v power-supply voltage (low voltage) lv dd 1.40 1.50 1.60 hv i v ss ? hv dd *1 v input voltage lv i v ss ? lv dd *2 v 0 25 70 *3 ambient temperature t a -40 25 85 *4 c normal input rising time t ri ? ? 50 ns normal input falling time t ra ? ? 50 ns schmitt input rising time t ri ? ? 5 ms schmitt input falling time t ra ? ? 5 ms notes *1: possible to use up to 2.7 v of n channel open drain bi-directional bu ffers and input buffers. *2: possible to use up to 2.7 v of n channel open dr ain bi-directional buffer s, input buffers, and fail safe cells. *3: the ambient temperature range is recommended for t j = 0 to +85[c]. *4: the ambient temperature range is recommended for t j = -40 to +125[c].
chapter 1 overview 16 epson standard cell s1k70000 series embedded array S1X70000 series table 1-19 electrical characteristics (hv dd = 2.5 v 0.2 v, v ss = 0 v, t a = -40 to +85 c) parameter symbol conditions min. typ. max. unit input leakage current i li ? -5 ? 5 a off state leakage current i oz ? -5 ? 5 a high level output voltage v oh i oh = -2 ma (type 1), -4 ma (type 2) -8 ma (type 3), -12 ma (type 4) hv dd = min. hv dd -0.4 ? ? v low level output voltage v ol i ol = 2 ma (type 1), 4 ma (type 2) 8 ma (type 3), 12 ma (type 4) hv dd = min. ? ? 0.4 v high level input voltage v ih1 lvcmos level, v dd = max. 1.7 ? v low level input voltage v il1 lvcmos level, v dd = min. ? 0.7 high level input voltage v t1+ lvcmos schmitt 0.8 ? 1.9 v low level input voltage v t1- lvcmos schmitt 0.5 ? 1.3 hysteresis voltage v h1 lvcmos schmitt 0.1 ? ? v type 1 25 50 125 k ? pull-up resistance p pu v i = 0 v type 2 50 100 250 k ? type 1 25 50 125 k ? pull-down resistance p pd v i = v dd or lv dd type 2 50 100 250 k ? high level maintenance current i bhh bus hold v in = 1.7 v response hv dd = min. ? ? -5 a low level maintenance current i bhl bus hold v in = 0.7 v response hv dd = min. ? ? 5 a high level reversal current i bhho bus hold v in = 0.7 v response hv dd = max. -280 ? ? a low level reversal current i bhlo bus hold v in = 1.7 v response hv dd = max. 240 ? ? a input terminal capacitance c i f = 1 mhz, hv dd = 0 v ? ? 8 pf output terminal capacitance c o f = 1 mhz, hv dd = 0 v ? ? 8 pf input/output terminal capacitance c io f = 1 mhz, hv dd = 0 v ? ? 8 pf
chapter 1 overview standard cell s1k70000 series epson 17 embedded array S1X70000 series table 1-20 electrical characteristics (v dd or lv dd = 1.8 v 0.15 v, v ss = 0 v, t a = -40 to +85 c) parameter symbol conditions min. typ. max. unit input leakage current i li ? -5 ? 5 a off state leakage current i oz ? -5 ? 5 a high level output voltage v oh i oh = -1.5 ma (type 1), -3 ma (type 2) -6 ma (type 3), -9 ma (type 4) v dd = min. v dd -0.4 ? ? v low level output voltage v ol i ol = 1.5 ma (type 1), 3 ma (type 2) 6 ma (type 3), 9 ma (type 4) v dd = min. ? ? 0.4 v high level input voltage v ih1 lvcmos level, v dd = max. 1.27 ? ? v low level input voltage v il1 lvcmos level, v dd = min. ? ? 0.57 high level input voltage v t1+ lvcmos schmitt 0.6 ? 1.4 v low level input voltage v t1- lvcmos schmitt 0.3 ? 1.1 hysteresis voltage v h1 lvcmos schmitt 0.02 ? ? v type 1 18 45 122 k ? pull-up resistance p pu v i = 0 v type 2 36 90 243 k ? type 1 18 45 122 k ? pull-down resistance p pd v i = v dd or lv dd type 2 36 90 243 k ? high level maintenance current i bhh bus hold v in = 1.27 v response v dd = min. ? ? -2 a low level maintenance current i bhl bus hold v in = 0.57 v response v dd = min. ? ? 2 a high level reversal current i bhho bus hold v in = 0.57 v response v dd = max. -100 ? ? a low level reversal current i bhlo bus hold v in = 1.27 v response v dd = max. 100 ? ? a input terminal capacitance c i f = 1 mhz, v dd = 0 v ? ? 8 pf output terminal capacitance c o f = 1 mhz, v dd = 0 v ? ? 8 pf input/output terminal capacitance c io f = 1 mhz, v dd = 0 v ? ? 8 pf
chapter 1 overview 18 epson standard cell s1k70000 series embedded array S1X70000 series table 1-21 electrical characteristics (v dd or lv dd = 1.5 v 0.1 v, v ss = 0 v, t a = -40 to +85 c) parameter symbol conditions min. typ. max. unit input leakage current i li ? -5 ? 5 a off state leakage current i oz ? -5 ? 5 a high level output voltage v oh i oh = -1 ma (type 1), -2 ma (type 2) -4 ma (type 3), -6 ma (type 4) v dd = min. v dd -0.4 ? ? v low level output voltage v ol i ol = 1 ma (type 1), 2 ma (type 2) 4 ma (type 3), 6 ma (type 4) v dd = min. ? ? 0.4 v high level input voltage v ih1 lvcmos level, v dd = max. 1.04 ? ? v low level input voltage v il1 lvcmos level, v dd = min. ? ? 0.49 high level input voltage v t1+ lvcmos schmitt 0.5 ? 1.1 v low level input voltage v t1- lvcmos schmitt 0.2 ? 1.0 hysteresis voltage v h1 lvcmos schmitt 0.01 ? ? v type 1 28 70 210 k ? pull-up resistance p pu v i = 0 v type 2 56 140 420 k ? type 1 28 70 210 k ? pull-down resistance p pd v i = v dd or lv dd type 2 56 140 420 k ? high level maintenance current i bhh bus hold v in = 1.04 v response v dd = min. ? ? -2 a low level maintenance current i bhl bus hold v in = 0.49 v response v dd = min. ? ? 2 a high level reversal current i bhho bus hold v in = 0.49 v response v dd = max. -80 ? ? a low level reversal current i bhlo bus hold v in = 1.04 v response v dd = max. 80 ? ? a input terminal capacitance c i f = 1 mhz, v dd = 0 v ? ? 8 pf output terminal capacitance c o f = 1 mhz, v dd = 0 v ? ? 8 pf input/output terminal capacitance c io f = 1 mhz, v dd = 0 v ? ? 8 pf
chapter 1 overview standard cell s1k70000 series epson 19 embedded array S1X70000 series 1.3 estimating the quiescent current the quiescent current for cells in the s1k/S1X70000 series can be roughly estimated using the equation shown below. when calcul ating the quiescent current, please assume ambient temperature (t a ) = chip temperature (t j ). the quiescent current depends on the off current of each transistor. because the quiescent current for the entire chip cannot easily be ca lculated simultaneously, divide the chip into several blocks in the calculation of the quiescent current, and use the sum total of all blocks as the chip?s quiescent current. i dds (t j 85 c ) i qbc i qbm i qcm i qio 1.3.1 quiescent current in the random logic part (i qbc ) the s1k/S1X70000 series is available in two types of msi cells: basic cell-type msi cells, which are equivalent to the conventional gate-array type, and cell based-type msi cells. the quiescent current is calculated differently for each type of msi cell. table 1-22 lists the quiescent-current values per 1k gate of each msi cell type. table 1-22 quiescent current per 1k gate (v dd = 1.95 v, t j = 85 c) standard 1 standard 2 high- performance low-leakage unit basic cell type S1X70000 1.59 10 -6 5.85 10 -5 1.22 10 -3 tbd a cell-based type s1k70000 9.62 10 -7 3.21 10 -5 6.82 10 -4 tbd a 1.3.2 quiescent current of basic cell-type ram (i qbm ) the quiescent-current values of the primary basic cell-type rams in the S1X70000 series are listed in table 1-23. (for the quiescent-current values of rams no t listed here, use the quiescent-current value of the ram that is closest in structure to those rams. if more detailed information on quiescent-current values is required, please contact the sales division of epson.)
chapter 1 overview 20 epson standard cell s1k70000 series embedded array S1X70000 series table 1-23 quiescent-current values of basic cell-type ram for each transistor type (common to 1-port ram and 2-port ram, v dd = 1.95 v, t j = 85c) standard 1 64word 128word 192word 256word unit 8 bit 3.47 10 -6 6.08 10 -6 8.70 10 -6 11.31 10 -6 a 16 bit 5.22 10 -6 9.19 10 -6 13.16 10 -6 17.13 10 -6 a 24 bit 6.96 10 -6 12.29 10 -6 17.63 10 -6 22.96 10 -6 a 32 bit 8.71 10 -6 15.40 10 -6 22.09 10 -6 28.79 10 -6 a high-performance 64word 128word 192word 256word unit 8 bit 2.79 10 -3 4.92 10 -3 7.05 10 -3 9.18 10 -3 a 16 bit 4.25 10 -3 7.55 10 -3 10.85 10 -3 14.15 10 -3 a 24 bit 5.72 10 -3 10.19 10 -3 14.66 10 -3 19.13 10 -3 a 32 bit 7.18 10 -3 12.82 10 -3 18.46 10 -3 24.10 10 -3 a low-leakage 64word 128word 192word 256word unit 8 bit tbd tbd tbd tbd a 16 bit tbd tbd tbd tbd a 24 bit tbd tbd tbd tbd a 32 bit tbd tbd tbd tbd a 1.3.3 quiescent current of cell based-type ram (i qcm ) the quiescent-current values of the cell based-type rams and roms in the s1k70000 series vary depending on the word/bit structure. therefore, please contact the sales division of epson for details. 1.3.4 quiescent current of input/output buffers (i qio ) the quiescent-current values flowing in input/output buffers can be roughly estimated by using the values listed in table 1-24 for the calculation formula shown on the next page. (make sure the input signals for the input and bi-directional buffers are fixed to v ss or v dd (lv dd or hv dd ). if buffers with pull-up and pull-down resistors have been selected, leave the pins open.) for systems with dual power supplies, calculate the quiescent current for the h- and l-voltage buffers separately.
chapter 1 overview standard cell s1k70000 series epson 21 embedded array S1X70000 series table 1-24 quiescent-current value per input/output buffer (t j = 85 c) quiescent-current value unit v dd = 3.60 v 450 x 10 -9 a v dd = 2.70 v 105 x 10 -9 a v dd = 1.95 v 75 x 10 -9 a v dd = 1.60 v 75 x 10 -9 a quiescent-current value of input / output buffer = (values in table 1-24) x (number of output cells + number of bi-directional cells + number of v dd (hv dd or lv dd ) power-supply cells) calculation example: find the quiescent-current value for the following case. ? power-supply voltage : hv dd / lv dd = 3.3 v / 1.8 v ? type of transistor used : standard 1 ? i/o cells v ss : 12 hv dd : 12 lv dd : 12 h-voltage input cells : 30 h-voltage output cells : 40 h-voltage bi-directional cells : 60 l-voltage input cells : 30 l-voltage output cells : 20 l-voltage bi-directional cells : 40 ? basic cell-type 2-port ram : 256 words x 16 bits, 4 pcs. 128 words x 8 bits, 6 pcs. ? cell-based logic : 1240k gates because this is a dual-power-supply system, first find the quiescent current for the ld dd system. from table 1-22, the quiescent-cu rrent value of the cell-based logic is i qbc = 9.62 x 10 -7 x 1240 = 1192.9 x 10 -6 [a] (v dd = 1.95 v, t j = 85 c) next, find the quiescent-current value of the basic cell-type rams. from table 1-23, the quiescent-current value per piece of ram is 256 word x 16 bit 17.13 x 10 -6 [a] 128 word x 8 bit 6.08 x 10 -6 [a] therefore, the quiescent-current value of the basic cell-type rams is i qbm = (17.13 x 10 -6 x 4) + (6.08 x 10 -6 x 6) = 68.52 x 10 -6 + 36.48 x 10 -6 = 105.0 x 10 -6 [a] (v dd = 1.95 v, t j = 85 c) next, find the quiescent-current value of the input/output buffers using the equation for quiescent-current values shown above. i qio = 75 x 10 -9 x (20 + 40 + 12) = 5.40 x 10 -6 [a]
chapter 1 overview 22 epson standard cell s1k70000 series embedded array S1X70000 series from the quiescent-current values obtained th us far, find the quiescent-current value of the lv dd system. i q (lv dd ) = i qbc + i qbm + i qio = 1192.9 x 10 -6 + 105.0 x 10 -6 + 5.4 x 10 -6 = 1303.3 x 10 -6 [a] next, find the quiescent-current value of the hv dd system. to find the quiescent-current value of the hv dd system, simply calculate the quiescent current flowing in the input/output buffers. i q (hv dd ) = 450 x 10 -9 x (40 + 60 + 12) = 50.40 x 10 -6 [a] from the above calculation results, the quiescent-current values to be obtained in this example are i q (lv dd ) = 1303.3 x 10 -6 [a] i q (hv dd ) = 50.40 x 10 -6 [a] 1.3.5 temperature characteristics of quiescent current the quiescent-current values at temperatures other than t j = 85[c] can be approximately calculated using the equation shown below. i dds (t j ) = i dds (t j = 85 c ) x 0.0317e (0.0406 x t j ) (where, t j = 0 to 125c) calculation example: in cases in which the quiescent-current value at t j = 85[c] is 3000 [a], the approximate value of the quiescent current at t j = 50[c] is i dds (t j = 50 c) = i dds (t j = 85 c) x 0.0317e (0.0406 x 50) = 3000 x 0.24 = 720 [ a]
chapter 1 overview standard cell s1k70000 series epson 23 embedded array S1X70000 series 1.4 product development flow the standard cells and embedded arrays are developed jointly by customers and epson. customers perform work based on the cell libraries and various design materials supplied by epson. this work includes system design, circuit design, and pattern design. before these designs can be interfaced to epson, customers are requested to check them based on the data-release checklist included herein. after completion of that check, the necessary data and documentation may be presented to epson. customers conduct simulations of said designs using eda software or auklet * available on hand, and epson undertakes subsequent work following placement and routing. note *: auklet is an asic design assistance system from epson that can be run on an ms-windows 95/98 or nt platform. currently, the following types of eda software can be used for simulation: ? verilog-xl (*1) ? vss (*2) ? modelsim (*3) note *1: verilog-xl is a registered trademark of cadence design systems corporation, usa. *2: vss is a registered trademark of synopsys of inc., usa. *3: modelsim is a registered trademark of model technology corp., usa. for more information, please contact the sales division of epson.
chapter 1 overview 24 epson standard cell s1k70000 series embedded array S1X70000 series the process flow of the standard-cell / embedded-array development process is shown below. customer distributor (interface) epson product plan functional spec. circuit design test pattern  design logic check  (simulation) timing check  (simulation) automatic place & rout (post  simulation) make masks ts  (test sample)  fabrication es (engineering  sample) fabrication mp setup mp delivery  spec.  publication standard-cell  development  request simulation  file simulation list customer spec. sign off es (ts)  prototype-evaluation approval notification delivery spec. delivery spec. approval notification schematic pin assignment timing wave form marking-diagram p/o verification* ng ng delay  time  analysis delay time analysis ok ng ok functional evaluation ng overall  evaluation ng ok ok delivery spec. approval operations enclosed in ( ) are performed only when so requested by customers. verification verification es (ts) approval notification
chapter 2 estimating the gate density standard cell s1k70000 series epson 25 embedded array S1X70000 series chapter 2 estimating the gate density this chapter describes the procedure for estimating the circuit size after cutting out circuits from the customer?s system, and then estimating an approximate bulk size. the precautions to be taken when performing this work are also described. 2.1 dividing up logic between chips when cutting out circuits from the customer?s system, care must be taken with respect to the following points. ? precautions to be taken (1) logic size to be integrated (gate count) (2) number of i/o pins required (pin count) (3) package to be used (4) power consumption generally speaking, as the circuit size increases, so does the power consumption of the circuit and the number of input/output pins on it. if the circuit size is significantly large, the circuit may be divided into multiple chips rather than being integrated into a single chip. this helps reduce the total cost and the power consumption of the circuit.
chapter 2 estimating the gate density 26 epson standard cell s1k70000 series embedded array S1X70000 series 2.2 determining gate size the number of gates used in the s1k/S1X70000-series msi cells may be estimated from the number of pads or from the size of the circuit implemented. 2.2.1 estimating bulk from the number of pads table 2-1 lists the primary bulks in the s1k/S1X70000 series with respect to the number of pads. table 2-1 typical pad counts and total bc counts basic cell type cell based type *2 total bc count x y total bc count x y pad count *1 (four sides) a 150894 747 202 205808 677 304 88 b 190836 837 228 258060 759 340 100 c 306144 1063 288 416016 963 432 128 d 390222 1197 326 529480 1085 488 144 e 466004 1309 356 633858 1187 534 160 f 569330 1445 394 773490 1311 590 176 g 623356 1513 412 844536 1371 616 184 h 778780 1693 460 1059150 1535 690 208 i 845280 1761 480 1146646 1597 718 216 j 1174450 2075 566 1593018 1883 846 256 h 1647530 2459 670 2233458 2229 1002 304 l 2164992 2819 768 2940550 2557 1150 352 m 2638128 3111 848 3582670 2821 1270 388 n 3076844 3559 916 4174390 3047 1370 420 o 3498960 3585 976 4752962 3251 1462 448 p 4001652 3833 1044 5434900 3475 1564 480 q 4487042 4057 1106 6092424 3679 1656 508 r 4897972 4237 1156 6640704 3843 1728 532 s 5373610 4441 1210 7296924 4027 1812 556 notes *1: for pin counts less than 88, the number of pads is omitted. *2: in the ?s1k70000-/S1X70000-series msi cell libr ary?, the size of each cell based-type cell is expressed in grid units. cell sizes in grid un its may be converted into bc counts at a rate of 1 bc = 3.0 grids.
chapter 2 estimating the gate density standard cell s1k70000 series epson 27 embedded array S1X70000 series 2.2.2 estimating the number of gates used in basic cell-type msi cell before the number of gates used in the s1k/S1X70000-series basic cell-type msi cell can be estimated, the following pieces of information must be available. ? circuit size : g 0 (gate or bc) ? maximum operating frequency in the circuit : f (mhz) ? percentage of circuit operating at f mhz : (%) ? number of metalizations used : m the rules for reinforced power supplies are determined from the above information (depending on the width of the reinforced power-supply line, one of two sets of rules applies). because no logic circuits can be placed below reinforced power supplies, the area required for reinforced power supplies must be added to the above circuit size, g 0 gates. here, the necessary bc count, g a , where reinforced power supplies are considered, is defined as g a = g 0 + (area required for the reinforced power supply) .... (1) further, the following is defined. g 0 / g a = (%) effective gate rate .... (2) the effective gate rates are summarized in tables 2-2 and 2-3. table 2-2 effective gate rates (1 bc) (%) operating frequency (mhz) metalization layers circuit percentage 50 75 100 125 150 175 200 40% 89.4 87.7 84.6 ? ? ? ? 60% 88.3 85.6 80.2 ? ? ? ? al3 layered 80% 87.1 83.3 ? ? ? ? ? 40% 90.9 90.3 89.8 89.3 88.7 88.0 87.5 60% 90.4 89.7 88.9 88.0 87.1 86.3 85.1 80% 90.0 89.0 87.9 86.8 85.6 84.0 83.3 al4 or 5 layered 100% 89.6 88.3 86.8 85.6 84.0 82.5 80.2 40% 91.2 90.9 90.6 90.3 90.0 89.7 89.3 60% 90.9 90.5 90.0 89.6 89.1 88.6 88.1 80% 90.7 90.1 89.5 88.9 88.3 87.7 86.8 al6 layered 100% 90.4 89.7 89.0 88.1 87.3 86.6 85.6 note: combinations left blank in the above table are not available.
chapter 2 estimating the gate density 28 epson standard cell s1k70000 series embedded array S1X70000 series table 2-3 effective gate rates (2 bcs) (%) operating frequency (mhz) metalization layers circuit percentage 50 75 100 125 150 175 200 40% 83.8 83.3 82.8 82.2 81.6 80.9 80.4 60% 83.4 82.6 81.8 80.9 80.2 ? ? al3 layered 80% 83.0 82.0 80.9 ? ? ? ? 40% 84.3 84.1 83.9 83.7 83.5 83.3 83.1 60% 84.1 83.8 83.5 83.2 82.9 82.6 82.3 80% 83.9 83.5 83.1 82.7 82.3 81.9 81.5 al4 or 5 layered 100% 83.7 83.3 82.7 82.3 81.7 81.2 80.6 40% 84.4 84.3 84.1 84.0 83.9 83.8 83.6 60% 84.3 84.1 83.9 83.7 83.5 83.3 83.2 80% 84.2 83.9 83.7 83.4 83.2 82.9 82.7 al6 layered 100% 84.0 83.7 83.4 83.1 82.8 82.5 82.1 note: combinations left blank in the above table are not available. from tables 2-2 or 2-3, find the effective gate rate: (%) corresponding to the maximum operating frequency: f (mhz), circuit percentage: (%), and number of metalizations used: m. if the relevant operating frequency or circ uit percentage does not exist, find the closest applicable value. when the effective gate rate, (%), is found, the necessary bc count, with reinforced power supplies considered, g a , may be obtained from eq uation (2) as follows: g a = g 0 / ( / 100) .... (3) for the actual chip, however, the wiring area used for p&r is required, in addition to the above. here, let us define g = g a + (wiring area required for p&r) .... (4) and further g a / g = p (%) .... (5) the effective rates of wiring are summarized in table 2-4. using table 2-4, find the effective rate of wiring: p (%) that corresponds to circuit size: g 0 and number of metalized layers: m. when calculating this effective rate of wiring, note that the circuit size: g 0 supplied by the customer, and not the g a obtained above, is used as a parameter for the gate size. if the relevant ci rcuit size does not exist, use the closest applicable value. when the effective rate of wiring, p (%), is found, the value of g may be obtained from equation (5) as follows: g = g a / (p / 100) .... (6) the resulting g (gates or bcs) is the number of basic cells to be obtained.
chapter 2 estimating the gate density standard cell s1k70000 series epson 29 embedded array S1X70000 series table 2-4 effective rate of wiring (%) gate al3 layered al4 layered al5 layered al6 layered 100k 75 80 85 90 200k 75 80 85 90 300k 70 75 80 85 400k 70 75 80 85 500k 65 70 75 80 600k 65 70 75 80 700k 65 70 75 80 800k 60 65 70 75 900k 60 65 70 75 1000k 60 65 70 75 1100k 55 60 65 70 1200k 55 60 65 70 1300k 55 60 65 70 1400k 55 60 65 70 1500k 55 60 65 70 1600k 55 60 65 70 1700k 55 60 65 70 1800k 55 60 65 70 1900k 55 60 65 70 2000k 55 60 65 70 calculation example: estimate the number of gates used in msi cells under the following conditions. ? circuit size : 500k gates ? maximum operating frequency : 66 mhz ? circuit operating at 66 mhz : approx. 200k gates ? metalized layers used : 5 layers first, determine the wiring width of reinforced power supplies. using the values in tables 2-2 and 2-3, determine whether the 1 bc or 2 bc type of reinforced power supply should be used. for the present example, the following applies: ? operating frequency: 66 mhz substituted with 75 mhz ? al5-layered product ? circuit percentage operating at 66 mhz ( ) = circuit operating at f mhz / circuit size x 100 = 200k / 500k x 100 = 40 * (%) * this value represents a percentage in cases in which cells are laid out evenly throughout the chip. if cells are laid out unevenly so as to be concentrat ed in a specific location, the percentage should be increased to 60% or 80%.
chapter 2 estimating the gate density 30 epson standard cell s1k70000 series embedded array S1X70000 series therefore, the effective gate rates may be obtained from tables 2-2 and 2-3 as follows: 1 bc reinforced power supplies ... effective gate rate = 90.3% 2 bc reinforced power supplies ... effective gate rate = 84.1% thus, choose 1bc reinforced-power-supply rules, which have a better effective gate rate. from equation (3), the necessary bc count, wi th reinforced power supplies considered, is g a = 500k / 0.903 = 553.7k (bcs) next, determine the wiring efficiency. from table 2-4, we know that the effective rate of wiring for circuit size = 500k gates with an al5-layered product is 75%. therefore, subs titute that value in equation (6), and the following results: g = 553.7k / 0.75 = 738.27k thus, the estimated number of gates used in the basic cell-type msi cell is 739k (bcs). 2.2.3 estimating the number of gates used in cell based-type msi cell the number of gates used in the cell based-type msi cell may be estimated with the same method shown in section 2.2.2, ?estimating the number of gates used in basic cell-type msi cell.? in this case, however, note that the effective gate rates given in tables 2-5 and 2-6 apply. table 2-5 effective gate rates (1 bc) (%) operating frequency (mhz) metalization layers circuit percentage 50 75 100 125 150 175 200 40% 90.6 89.7 88.1 85.1 80.2 ? ? 60% 90.0 88.6 85.9 82.5 ? ? ? al3 or 4 layered 80% 89.4 87.5 84.0 ? ? ? ? 40% 91.3 91.0 90.7 90.5 90.2 89.8 89.6 60% 91.1 90.7 90.3 89.8 89.4 89.0 88.4 80% 90.9 90.3 89.8 89.3 88.6 87.9 87.5 al5 or 6 layered 100% 90.6 90.0 89.3 88.6 87.9 87.1 85.9 note: combinations left blank in the above table are not available.
chapter 2 estimating the gate density standard cell s1k70000 series epson 31 embedded array S1X70000 series table 2-6 effective gate rates (2 bcs) (%) operating frequency (mhz) metalization layers circuit percentage 50 75 100 125 150 175 200 40% 84.2 84.0 83.7 83.4 83.1 82.8 82.5 60% 84.0 83.6 83.2 82.8 82.4 81.8 81.4 al3 or 4 layered 80% 83.8 83.3 82.8 82.1 81.6 80.8 80.4 40% 84.4 84.3 84.2 84.1 84.0 83.9 83.8 60% 84.4 84.2 84.1 83.9 83.8 83.6 83.4 80% 84.3 84.1 83.9 83.7 83.5 83.3 83.1 al5 or 6 layered 100% 84.2 83.9 83.7 83.4 83.2 82.9 82.6 note: combinations left blank in the above table are not available. calculation example: estimate the number of gates used in msi cells under the following conditions. ? circuit size : 500k gates ? maximum operating frequency : 150 mhz ? circuit operating at 150 mhz : approx. 300k gates ? metalized layers used : 4 layers first, determine the wiring width of reinforced power supplies. use the values in tables 2-5 and 2-6 to determine whether the 1 bc or 2 bc type of reinforced power supply should be used. for the present example, the following applies: ? operating frequency: 150 mhz ? al4-layered product ? circuit percentage operating at 150 mhz ( ) = circuit operating at f mhz / circuit size x 100 = 300k / 500k x 100 = 60 * (%) * this value represents a percentage in cases in which cells are laid out evenly throughout the chip. if cells are laid out unevenly so as to be concentrated on a specific location, the percentage should be increased to 80%. therefore, the effective gate rates may be obtained from tables 2-5 and 2-6 as follows: 1 bc reinforced power supplies ... effective gate rate = not applicable 2 bc reinforced power supplies ... effective gate rate = 82.4% because 1 bc reinforced power supplies are not applicable, choose 2 bc reinforced-power-supply rules. from equation (3) in section 2.2.2, ?estimating the number of gates used in basic cell-type msi cell,? the necessary bc count, with reinforced power supplies considered, is g a = 500k / 0.824 = 606.8k (bcs) next, determine the wiring efficiency. from table 2-4, we know that the effective rate of wiring for circuit size = 500k gates with an al4-layered product is 70%. therefore, substitute that value in equation (6) in
chapter 2 estimating the gate density 32 epson standard cell s1k70000 series embedded array S1X70000 series section 2.2.2, ?estimating the number of gates used in basic cell-type msi cell.? the following results: g = 606.8k / 0.70 = 866.85k thus, the estimated number of gates used in the cell based-type msi cell is 867k (bcs). 2.2.4 estimating the number of gates used in basic cell-type ram for details on estimating the number of gates used in the s1k/S1X70000-series basic cell-type ram, refer to section 5.1.3, ?ram sizes.? 2.2.5 estimating the number of gates used in cell based-type ram for details on estimating the number of gates used in the s1k/S1X70000-series cell based-type ram and rom, please consult the sales division of epson. 2.2.6 estimating bulk from the implemented circuit size find the sum total of all gate counts that ha ve been obtained in sections 2.2.2 through 2.2.5; an approximate bulk size can be pred icted from table 2-1 based on that result.
chapter 2 estimating the gate density standard cell s1k70000 series epson 33 embedded array S1X70000 series 2.3 estimating the number of input/output pins after the number of gates used in cells has been estimated, calculate the number of actually used input/output pins. when performing this calculation, make sure the test pins and power-supply pins on basic cell-type ram and cell based-type ram and rom are included in the pin counts. to estimate the number of power-supply pins, use the method described in section 7.11, ?pin placement and simultaneous operation.?
chapter 3 msi cells 34 epson standard cell s1k70000 series embedded array S1X70000 series chapter 3 msi cells 3.1 naming rules for msi with the s1k/S1X70000 series, the basic cell and cell-based types of msi cells are available for multiple types of transistors. these types of msi cells are functionally identified using the following naming rules: k 1 n a 2 x 4 ...... cell base/type of transistor: std 1/2-input nand/x4 output drivability (for details, refer to the cell libraries.) functionality librar y classification basic cell type cell-based type type of transistor l1***** k1***** standard 1 l2***** k2***** high-performance l3***** k3***** low-leakage l4***** k4***** standard 2
chapter 3 msi cells standard cell s1k70000 series epson 35 embedded array S1X70000 series 3.2 msi cell types below is a list of the functions of the msi cell types in the s1k/S1X70000 series. the functions of all of these cells are common to l1 through l4 or k1 through k4. for more information, please contact the sales division of epson. list of cell functions in the s1k/S1X70000 series ? buffer ? inverter ? delay line ? and gate input 2/3/4 /input 2/3/4 with inverted input 1/2/3 input 5/6/8 ? nand gate input 2/3/4 /input 2/3/4 with inverted input 1/2/3 input 5/6/8 ? or gate input 2/3/4 /input 2/3/4 with inverted input 1/2/3 input 5/6/8 ? nor gate input 2/3/4 /input 2/3/4 with inverted input 1/2/3 input 5/6/8 ? exclusive or/nor input 2/3 ? and-or gates 2-and-or input 3/4/5/6/8 3-and-or input 4/5/6 4-and-or input 8 ? or-and gates 2-or-and input 3/4/5/6/8 3-or-and input 4/5/6 4-or-and input 8 ? multi-function gates 2-or 2-and 4-input or gate 2-and 2-or 4-input and gate ? majority gates 2 of 3/inverted 2 of 3 ? clock tree root buffer buffer/inverter
chapter 3 msi cells 36 epson standard cell s1k70000 series embedded array S1X70000 series ? gated clock 2-input and gate 2-input or gate 2-input nand gate 2-input nor gate inverter selector/multiplexer ? flip flops d-flip flop set/reset synchronous enable output q negative clock scan jk-flip flop set/reset output q scan rs-flip flop nand-type/nor-type ? latches preset/reset output m negative clock ? adder ? decoders 2-line to 4-line enable ? selectors/multiplexers 2-line to 1-line 4-line to 1-line enable quadruple 2-line to 1-line enable negative output ? bus cells latch 3-state buffer -low enable/high enable
chapter 4 types of input/output buffers and their use standard cell s1k70000 series epson 37 embedded array S1X70000 series chapter 4 types of input/output buffers and their use this chapter describes in detail how the input buffers, output buffers, and bi-directional buffers are constructed. 4.1 selecting input/output buffers 4.1.1 naming rules for input/output buffers the s1k/S1X70000 series uses the following naming rules to identify the functionality of input/output buffers (except for some buffers). h b b c 2 a d 1 t y h: hv dd system of dual power supplies l: lv dd system of dual power supplies m: single power supply i: input buffer b: bi-directional buffer o: output buffer t: three-state buffer b: ordinary buffer f: fail-safe type d: open drain type
chapter 4 types of input/output buffers and their use 38 epson standard cell s1k70000 series embedded array S1X70000 series c: lvcmos level h: lvcmos schmitt level t: lvttl level a: gated v: cutoff 1a: type 1 high speed 2a: type 2 high speed 3a: type 3 high speed 4a: type 4 high speed 1b: type 1 low noise 2b: type 2 low noise 3b: type 3 low noise 4b: type 4 low noise d1: pull-down resistor (type 1) d2: pull-down resistor (type 2) p1: pull-up resistor (type 1) p2: pull-up resistor (type 2) h: bus hold none: without pull-up/pull-down resistors and bus hold t: test pins included none: without test pins x: 2.5-v input/output buffers y: 3.3-v input/output buffers 4.1.2 bus-hold circuit to ensure that the output pins and bi-direc tional pins will not enter a high-impedance state, the s1k/S1X70000 series has available an input/output buffer that comes equipped with a bus-hold facility to hold the data at the output pins. however, because the bus-hold circuit?s retention capability is suppressed so as not to adversely affect the ordinary operation of the cell, do not use the output data held by the circuit as valid data. the retained data may easily change state when any data is supplied from an external circuit. for the bus-hold circuit?s output retention current, refer to table 1-7, tables 1-10 through 1-12, and tables 1-19 through 1-21.
chapter 4 types of input/output buffers and their use standard cell s1k70000 series epson 39 embedded array S1X70000 series 4.2 input/output buffers for a single power supply (3.3 v: y type) when the input/output buffers are used with a single power supply, the useful power-supply voltage is 1.8 v or 1.5 v only. 4.2.1 input buffers table 4-1 rated pull-up/pull-down resistance values at each voltage resistance value type of pull-up/pull-down resistor v dd = 1.8 v v dd = 1.5 v unit type 1 60 90 k ? type 2 120 180 k ? table 4-2 input buffers list cell name *1, *2 input level whether pull-up/pull- down resistors are included mibcy mibcp#ty mibcd#ty lvcmos lvcmos lvcmos none pull-up resistor included pull-down resistor included mibhy mibhp#ty mibhd#ty lvcmos schmitt lvcmos schmitt lvcmos schmitt none pull-up resistor included pull-down resistor included notes *1: the # denotes 1 or 2, with the pull-up/pull-down-resistance values corresponding to type 1 and type 2, respectively (for details, refer to table 4-1). *2: in addition to the configurations shown in tabl e 4-2, the input buffers may be configured without test pins. customers desiring to use such configurat ions should direct inquiries to epson.
chapter 4 types of input/output buffers and their use 40 epson standard cell s1k70000 series embedded array S1X70000 series 4.2.2 output buffers tables 4-4 and 4-6 list the output buffers (3.3-v buffers: y type). table 4-3 rated i oh and i ol values at each voltage i oh *1 /i ol *2 type of output current v dd = 1.8 v v dd = 1.5 v unit type 1 -1/1 -0.75/0.75 ma type 2 -2/2 -1.5/1.5 ma type 3 -4/4 -3/3 ma type 4 -6/6 -4.5/4.5 ma notes *1: v oh = v dd - 0.4 v *2: v ol = 0.4 v table 4-4 output buffers list function i oh /i ol cell name *1, *2 normal output for high speed type 1 type 2 type 3 type 4 mob#aty normal output for low noise type 1 type 2 type 3 type 4 mob#bty 3-state output for high speed type 1 type 2 type 3 type 4 mtb#aty 3-state output for low noise type 1 type 2 type 3 type 4 mtb#bty 3-state output for high speed bus hold circuit type 1 type 2 type 3 type 4 mtb#ahty 3-state output for low noise bus hold circuit type 1 type 2 type 3 type 4 mtb#bhty notes *1: the # denotes 1, 2, 3, or 4, with the i oh /i ol values corresponding to type 1, type 2, type 3, and type 4, respectively (for details, refer to table 4-3). *2: in addition to the configurations shown in table 4-4, the output buffers may be configured without test pins. customers desiring to use such configurat ions should direct inquiries to epson.
chapter 4 types of input/output buffers and their use standard cell s1k70000 series epson 41 embedded array S1X70000 series table 4-5 rated i ol values at each voltage i ol *1 type of output current v dd = 1.8 v v dd = 1.5 v unit type 1 1 0.75 ma type 2 2 1.5 ma type 3 4 3 ma type 4 6 4.5 ma note *1: v ol = 0.4 v table 4-6 n channel open drain output buffers list function i ol cell name *1, *2 normal output for high speed type 1 type 2 type 3 type 4 mod#aty normal output for low noise type 1 type 2 type 3 type 4 mod#bty notes *1: the # denotes 1, 2, 3, or 4, with the i ol values corresponding to type 1, type 2, type 3, and type 4, respectively (for details, refer to table 4-5). *2: in addition to the configurations in tabl e 4-6, the n channel open drain output buffers may be configured without test pins. customers desiring to use such configurat ions should direct inquiries to epson.
chapter 4 types of input/output buffers and their use 42 epson standard cell s1k70000 series embedded array S1X70000 series 4.2.3 bi-directional buffers tables 4-7 and 4-8 list bi-directional buffers (3.3-v buffers: y type). table 4-7 bi-directional buffers list input level function i oh /i ol cell name *1, *2 bi-directional output for high speed type 1 type 2 type 3 type 4 mbbc#aty lvcmos bi-directional output for low noise type 1 type 2 type 3 type 4 mbbc#bty bi-directional output for high speed type 1 type 2 type 3 type 4 mbbh#aty lvcmos schmitt bi-directional output for low noise type 1 type 2 type 3 type 4 mbbh#bty bi-directional output for high speed bus hold circuit type 1 type 2 type 3 type 4 mbbc#ahty lvcmos bi-directional output for low noise bus hold circuit type 1 type 2 type 3 type 4 mbbc#bhty bi-directional output for high speed bus hold circuit type 1 type 2 type 3 type 4 mbbh#ahty lvcmos schmitt bi-directional output for low noise bus hold circuit type 1 type 2 type 3 type 4 mbbh#bhty notes *1: the # denotes 1, 2, 3, or 4, with the i oh /i ol values corresponding to type 1, type 2, type 3, and type 4, respectively (for details, refer to table 4-3). *2: in addition to the configurations shown in t able 4-7, the bi-directional buffers may be configured with pull-up/pull-down resistors or without test pins. customers desiring to use such configurat ions should direct inquiries to epson.
chapter 4 types of input/output buffers and their use standard cell s1k70000 series epson 43 embedded array S1X70000 series table 4-8 n channel open drain bi-directional buffers list input level function i ol cell name *1 , *2 bi-directional output for high speed type 1 type 2 type 3 type 4 mbdc#aty lvcmos bi-directional output for low noise type 1 type 2 type 3 type 4 mbdc#bty bi-directional output for high speed type 1 type 2 type 3 type 4 mbdh#aty lvcmos schmitt bi-directional output for low noise type 1 type 2 type 3 type 4 mbdh#bty notes *1: the # denotes 1, 2, 3, or 4, with the i ol values corresponding to type 1, type 2, type 3, and type 4, respectively (for details, refer to table 4-5). *2: in addition to the configurations shown in table 4-8, the n channel open drain bi-directional buffers may be configured without test pins. customers desiring to use such configurat ions should direct inquiries to epson.
chapter 4 types of input/output buffers and their use 44 epson standard cell s1k70000 series embedded array S1X70000 series 4.2.4 fail safe cells 4.2.4.1 overview the s1k/S1X70000-series fail safe cells allow signals above the power-supply voltage to be interfaced, even while power is supplied. furthermore, no leakage current flows in those cells, despite the fact that the signals are interfaced while the power is cut off. theref ore, they provide greater freedom of design than ever before. 4.2.4.2 features (1) the fail safe cells can be positioned as desired by customers. there are no limitations on the number of cells that can be used or the locations in which they can be placed. (2) even when input signals above the power-supply voltage are applied while power is supplied, no input leakage current flows. (f or input buffers or bi-directional buffers with pull-up resistors, however, a small input leakage current of approximately 30 a may flow due to their circuit configuration.) (3) even when input signals are applied from the outside while the power is cut off, no input leakage current flows. (4) fail safe cells with two different input levels, the lvcmos level and the lvcmos schmitt level, are available. (5) because the fail safe cells are completely cmos-structured, the power consumption can be suppressed to a minimum. 4.2.4.3 usage precautions (1) about input i/o cells ? for input buffers without resistors or with pull-down resistors, ordinary input buffers may be used direct ly as fail safe cells. ? if input buffers with pull-up resistors are needed, always be sure to use fail safe cells (however, a small input leakage current of approximately 30 a may flow due to their circuit configuration). (2) about output i/o cells ? provided that the output buffers are plac ed in high-z state or the bi-directional buffers are placed in input mode, no input leakage current may flow even when input signals above the power-supply voltage are applied while power is supplied. ? if signals above the power-supply volt age are applied while the bi-directional buffers are placed in output mode, an in put leakage current flows as in ordinary input/output buffers. the same applies when pull-up resistors above the power-supply voltage exist outside the chip. (if a high logic level above the power-supply voltage is needed, use open drain type input/output buffers, with pull-up resistors added external to the chip in order to pull up the logic level high.) (3) although the fail safe cells can receive high-voltage signals above the lsi?s operating voltage, be aware that the signal voltages applied to the fail safe cells must never exceed their rated maximum voltage.
chapter 4 types of input/output buffers and their use standard cell s1k70000 series epson 45 embedded array S1X70000 series 4.2.4.4 list of cells table 4-9 fail safe input buffers list cell name *1 , *2 input level whether pull-up resistors are included mifcp#ty lvcmos pull-up resistor included mifhp#ty lvcmos schmitt pull-up resistor included notes *1: the # denotes 1 or 2, with the pull-up resistance values corresponding to type 1 and type 2, respectively (for details, refer to table 4-1). *2: in addition to the configurations shown in tabl e 4-9, the fail safe input buffers may be configured without test pins. customers desiring to use such configurat ions should direct inquiries to epson. table 4-10 fail safe output buffers list function i oh /i ol cell name *1, *2 3-state output for high speed type 1 type 2 type 3 type 4 mtf#aty 3-state output for low noise type 1 type 2 type 3 type 4 mtf#bty notes *1: the # denotes 1, 2, 3, or 4, with the i oh /i ol values corresponding to type 1, type 2, type 3, and type 4, respectively (for details, refer to table 4-3). *2: in addition to the configurations shown in table 4-10, the fail safe output buffers may be configured without test pins. customers desiring to use such configurat ions should direct inquiries to epson. table 4-11 fail safe bi-directional buffers list input level function i oh /i ol cell name *1, *2 bi-directional output for high speed type 1 type 2 type 3 type 4 mbfc#aty lvcmos bi-directional output for low noise type 1 type 2 type 3 type 4 mbfc#bty bi-directional output for high speed type 1 type 2 type 3 type 4 mbfh#aty lvcmos schmitt bi-directional output for low noise type 1 type 2 type 3 type 4 mbfh#bty notes *1: the # denotes 1, 2, 3, or 4, with the i oh /i ol values corresponding to type 1, type 2, type 3, and type 4, respectively (for details, refer to table 4-3). *2: in addition to the configurations shown in t able 4-11, the fail safe bi-directional buffers may be configured with pull-up/pull-down re sistors or without test pins. customers desiring to use such configurat ions should direct inquiries to epson.
chapter 4 types of input/output buffers and their use 46 epson standard cell s1k70000 series embedded array S1X70000 series 4.2.5 gated cells 4.2.5.1 overview the s1k/S1X70000-series gated cell is the first product that allows inputs to pins to be placed in the floating, or high-z, state withou t the use of pull-up or pull-down circuits. they also allow the input signals to be shut off by pulling down the control signals low. 4.2.5.2 features (1) the gated cells can be positioned as desired by customers. there are no limitations on the number of cells used or the locations in which they are placed. as a result, freedom of design is increased. (2) inputs can be placed in the high-z state without the use of pull-up or pull-down circuits. (3) input signals can be shut off by pulling down the control signals low. (4) the input level for the gated cells is the lvcmos level. (5) because the gated cells are completely cmos-structured, the power consumption can be suppressed to a minimum. 4.2.5.3 usage precautions to place inputs in the high-z state through the use of gated cells, inputs to pins must be shut off by using gated-cell control signals before they enter the high-z state. if inputs are placed in the high-z state without performing this control, current may flow into the gated cell as in ordinary cells. in such a case, the logic level latched into the device?s internal circuit cannot be guaranteed. pad x c ts figure 4-1 gated-cell circuit 4.2.5.4 list of cells table 4-12 gated input buffers list cell name *1, *2 input level whether pull-up/pull -down resistors are included mibaty mibap#ty mibad#ty lvcmos lvcmos lvcmos none pull-up resistor included pull-down resistor included notes *1: the # denotes 1 or 2, with the pull-up/pull-down resistance values corresponding to type 1 and type 2, respectively (for details, refer to table 4-1). *2: in addition to the configurations shown in table 4-12, the use of gated input buffers may be configured without test pins. customers desiring to use such configurat ions should direct inquiries to epson.
chapter 4 types of input/output buffers and their use standard cell s1k70000 series epson 47 embedded array S1X70000 series table 4-13 gated bi-directional buffers list input level function i oh /i ol cell name *1, *2 bi-directional output for high speed type 1 type 2 type 3 type 4 mbba#aty lvcmos bi-directional output for low noise type 1 type 2 type 3 type 4 mbba#bty notes *1: the # denotes 1, 2, 3, or 4, with the i oh /i ol values corresponding to type 1, type 2, type 3, and type 4, respectively (for details, refer to table 4-3). *2: in addition to the configurations shown in table 4-13, the gated bi-directional buffers may be configured with pull-up/pull-down re sistors or without test pins. customers desiring to use such configurat ions should direct inquiries to epson.
chapter 4 types of input/output buffers and their use 48 epson standard cell s1k70000 series embedded array S1X70000 series 4.3 dual-power-supply input/output buffers (3.3-v buffers: y type) if your system uses dual power supplies, use input/output buffers designed exclusively for operation with dual power supplies. (in this case, be careful not to use input/output buffers designed for operation with a single power supply.) (1) hv dd input/output buffers the hv dd input/output buffers are available in several types. these include input buffers that accept as input 3.3-v (or 2.5-v) signals, output buffers that output 3.3-v (or 2.5-v) amplitude signals, and bi-direction al buffers that accept as input 3.3-v (or 2.5-v) signals or output 3.3-v (or 2.5-v) amplitude signals. (2) lv dd input/output buffers the lv dd input/output buffers are available in several types. these include input buffers that accept as input 1.8-v (or 1.5-v) signals, output buffers that output 1.8-v (or 1.5-v) amplitude signals, and bi-direction al buffers that accept as input 1.8-v (or 1.5-v) signals or output 1.8-v (or 1.5-v) amplitude signals. for lv dd input or bi-directional buffers with pull-up resistors, do not apply voltages above lv dd . this is due to the fact that, if hv dd signals are supplied to those buffers, an excessive current flows in their internal protective diode, causing their quality to degrade (in such a case, use the fail safe cells described in section 4.3.4, ?fail safe cells?). 4.3.1 input buffers (1) hv dd input buffers the input buffers are configured using only input cells. the hv dd input buffers consist of a first input stage configured with an hv dd input circuit and a next stage configured with an lv dd circuit, so that hv dd signals are converted into lv dd signals before being fed into the msi cell (internal cell area). table 4-15 lists the hv dd input buffers (3.3-v buffers: y type). table 4-14 rated pull-up/pull-down-resistance values at each voltage resistance value type of pull-up/pull-down resistor hv dd = 3.3 v hv dd = 2.5 v unit type 1 50 70 k ? type 2 100 140 k ?
chapter 4 types of input/output buffers and their use standard cell s1k70000 series epson 49 embedded array S1X70000 series table 4-15 hv dd input buffers list cell name *1, *2 input level whether pull-up/pull -down resistors are included hibcy hibcp#ty hibcd#ty lvcmos lvcmos lvcmos none pull-up resistor pull-down resistor hibty hibtp#ty hibtd#ty lvttl lvttl lvttl none pull-up resistor pull-down resistor hibhy hibhp#ty hibhd#ty lvcmos schmitt lvcmos schmitt lvcmos schmitt none pull-up resistor pull-down resistor hibpciy hibpcip#ty hibpcid#ty pci-3 v pci-3 v pci-3 v none pull-up resistor pull-down resistor notes *1: the # denotes 1 or 2, with the pull-up/pull-down-resistance values corresponding to type 1 and type 2, respectively (for details, refer to table 4-14). *2: in addition to the configurations shown in table 4-15, the hv dd input buffers may be configured without test pins. customers desiring to use such configurat ions should direct inquiries to epson. (2) lv dd input buffers the input buffers are configured using only input cells. table 4-17 lists the lv dd input buffers (3.3-v buffers: y type). table 4-16 rated pull-up/pull-down-resistance values at each voltage resistance value type of pull-up/pull-down resistor lv dd = 1.8 v lv dd = 1.5 v unit type 1 60 90 k ? type 2 120 180 k ? table 4-17 lv dd input buffers list cell name *1, *2 input level whether pull-up/pull- down resistors are included libcy libcp#ty libcd#ty lvcmos lvcmos lvcmos none pull-up resistor pull-down resistor libhy libhp#ty libhd#ty lvcmos schmitt lvcmos schmitt lvcmos schmitt none pull-up resistor pull-down resistor notes *1: the # denotes 1 or 2, with the pull-up/pull-down resistance values corresponding to type 1 and type 2, respectively (for details, refer to table 4-16). *2: in addition to the configurations shown in table 4-17, the lv dd input buffers may be configured without test pins. customers desiring to use such configurat ions should direct inquiries to epson.
chapter 4 types of input/output buffers and their use 50 epson standard cell s1k70000 series embedded array S1X70000 series 4.3.2 output buffers (1) hv dd output buffers tables 4-19 and 4-21 list the hv dd output buffers (3.3-v buffers: y type). table 4-18 rated i oh and i ol values at each voltage i oh *1 /i ol *2 type of output current hv dd = 3.3 v hv dd = 2.5 v unit type 1 -2/2 -1.5/1.5 ma type 2 -4/4 -3/3 ma type 3 -8/8 -6/6 ma type 4 -12/12 -9/9 ma note *1: v oh = hv dd - 0.4 v *2: v ol = 0.4 v table 4-19 hv dd output buffers list function i ol /i oh cell name *1, *2 normal output for high speed type 1 type 2 type 3 type 4 hob#aty normal output for low noise type 1 type 2 type 3 type 4 hob#bty normal output for pci pci-3 v hobpcity *3 3-state output for high speed type 1 type 2 type 3 type 4 htb#aty 3-state output for low noise type 1 type 2 type 3 type 4 htb#bty 3-state output for pci pci-3 v htbpcity *3 3-state output for high speed bus hold circuit type 1 type 2 type 3 type 4 htb#ahty 3-state output for low noise bus hold circuit type 1 type 2 type 3 type 4 htb#bhty notes *1: the # denotes 1, 2, 3, or 4, with the i oh /i ol values corresponding to type 1, type 2, type 3, and type 4, respectively (for details, refer to table 4-18). *2: in addition to the configurations shown in table 4-19, the hv dd output buffers may be configured without test pins. customers desiring to use such configurat ions should direct inquiries to epson. *3: pci-3 v cells use two input/output-buffer areas.
chapter 4 types of input/output buffers and their use standard cell s1k70000 series epson 51 embedded array S1X70000 series table 4-20 rated i ol values at each voltage i ol *1 type of output current hv dd = 3.3 v hv dd = 2.5 v unit type 1 2 1.5 ma type 2 4 3 ma type 3 8 6 ma type 4 12 9 ma note *1: v ol = 0.4 v table 4-21 hv dd n channel open drain output buffers list function i ol cell name *1, *2 normal output for high speed type 1 type 2 type 3 type 4 hod#aty normal output for low noise type 1 type 2 type 3 type 4 hod#bty notes *1: the # denotes 1, 2, 3, or 4, with the i ol values corresponding to type 1, type 2, type 3, and type 4, respectively (for details, refer to table 4-20). *2: in addition to the configurations shown in table 4-21, the hv dd n channel open drain output buffers may be configured without test pins. customers desiring to use such configurat ions should direct inquiries to epson. (2) lv dd output buffers tables 4-23 and 4-25 list the lv dd output buffers (3.3-v buffers: y type). table 4-22 rated i oh and i ol values at each voltage i oh *1 /i ol *2 type of output current lv dd = 1.8 v lv dd = 1.5 v unit type 1 -1/1 -0.75/0.75 ma type 2 -2/2 -1.5/1.5 ma type 3 -4/4 -3/3 ma type 4 -6/6 -4.5/4.5 ma notes *1: v oh = lv dd - 0.4 v *2: v ol = 0.4 v
chapter 4 types of input/output buffers and their use 52 epson standard cell s1k70000 series embedded array S1X70000 series table 4-23 lv dd output buffers list function i oh /i ol cell name *1, *2 normal output for high speed type 1 type 2 type 3 type 4 lob#aty normal output for low noise type 1 type 2 type 3 type 4 lob#bty 3-state output for high speed type 1 type 2 type 3 type 4 ltb#aty 3-state output for low noise type 1 type 2 type 3 type 4 ltb#bty 3-state output for high speed bus hold circuit type 1 type 2 type 3 type 4 ltb#ahty 3-state output for low noise bus hold circuit type 1 type 2 type 3 type 4 ltb#bhty notes *1: the # denotes 1, 2, 3, or 4, with the i oh /i ol values corresponding to type 1, type 2, type 3, and type 4, respectively (for details, refer to table 4-22). *2: in addition to the configurations shown in table 4-23, the lv dd output buffers may be configured without test pins. customers desiring to use such configurat ions should direct inquiries to epson. table 4-24 rated i ol values at each voltage i ol *1 type of output current lv dd = 1.8 v lv dd = 1.5 v unit type 1 1 0.75 ma type 2 2 1.5 ma type 3 4 3 ma type 4 6 4.5 ma note *1: v ol = 0.4 v
chapter 4 types of input/output buffers and their use standard cell s1k70000 series epson 53 embedded array S1X70000 series table 4-25 lv dd n channel open drain output buffers list function i ol cell name *1, *2 normal output for high speed type 1 type 2 type 3 type 4 lod#aty normal output for low noise type 1 type 2 type 3 type 4 lod#bty notes *1: the # denotes 1, 2, 3, or 4, with the i ol values corresponding to type 1, type 2, type 3, and type 4, respectively (for details, refer to table 4-24). *2: in addition to the configurations shown in table 4-25, the lv dd n channel open drain output buffers may be configured without test pins. customers desiring to use such configurat ions should direct inquiries to epson.
chapter 4 types of input/output buffers and their use 54 epson standard cell s1k70000 series embedded array S1X70000 series 4.3.3 bi-directional buffers (1) hv dd bi-directional buffers tables 4-26 and 4-27 list the hv dd bi-directional buffers (3.3-v buffers: y type). table 4-26 hv dd bi-directional buffers list (1/2) input level function i oh /i ol cell name *1, *2 bi-directional output for high speed type 1 type 2 type 3 type 4 hbbt#aty lvttl bi-directional output for low noise type 1 type 2 type 3 type 4 hbbt#bty bi-directional output for high speed type 1 type 2 type 3 type 4 hbbc#aty lvcmos bi-directional output for low noise type 1 type 2 type 3 type 4 hbbc#bty pci bi-directional output for pci pci-3 v hbbpcity *3 bi-directional output for high speed type 1 type 2 type 3 type 4 hbbh#aty lvcmos schmitt bi-directional output for low noise type 1 type 2 type 3 type 4 hbbh#bty notes *1: the # denotes 1, 2, 3, or 4, with the i oh /i ol values corresponding to type 1, type 2, type 3, and type 4, respectively (for details, refer to table 4-18). *2: in addition to the configurations shown in table 4-26, the hv dd bi-directional buffers may be configured with pull-up/pull-down re sistors or without test pins. customers desiring to use such configurat ions should direct inquiries to epson. *3: pci-3 v cells use two input/output-buffer areas.
chapter 4 types of input/output buffers and their use standard cell s1k70000 series epson 55 embedded array S1X70000 series table 4-26 list of hv dd bi-directional buffers list (2/2) input level function i oh /i ol cell name *1, *2 bi-directional output for high speed bus hold circuit type 1 type 2 type 3 type 4 hbbt#ahty lvttl bi-directional output for low noise bus hold circuit type 1 type 2 type 3 type 4 hbbt#bhty bi-directional output for high speed bus hold circuit type 1 type 2 type 3 type 4 hbbc#ahty lvcmos bi-directional output for low noise bus hold circuit type 1 type 2 type 3 type 4 hbbc#bhty bi-directional output for high speed bus hold circuit type 1 type 2 type 3 type 4 hbbh#ahty lvcmos schmitt bi-directional output for low noise bus hold circuit type 1 type 2 type 3 type 4 hbbh#bhty notes *1: the # denotes 1, 2, 3, or 4, with the i oh /i ol values corresponding to type 1, type 2, type 3, and type 4, respectively (for details, refer to table 4-18). *2: in addition to the configurations shown in table 4-26, the hv dd bi-directional buffers may be configured with pull-up/pull-down re sistors or without test pins. customers desiring to use such configurat ions should direct inquiries to epson.
chapter 4 types of input/output buffers and their use 56 epson standard cell s1k70000 series embedded array S1X70000 series table 4-27 hv dd n channel open drain bi-directional buffers list input level function i ol cell name *1, *2 bi-directional output for high speed type 1 type 2 type 3 type 4 hbdt#aty lvttl bi-directional output for low noise type 1 type 2 type 3 type 4 hbdt#bty bi-directional output for high speed type 1 type 2 type 3 type 4 hbdc#aty lvcmos bi-directional output for low noise type 1 type 2 type 3 type 4 hbdc#bty bi-directional output for high speed type 1 type 2 type 3 type 4 hbdh#aty lvcmos schmitt bi-directional output for low noise type 1 type 2 type 3 type 4 hbdh#bty notes *1: the # denotes 1, 2, 3, or 4, with the i ol values corresponding to type 1, type 2, type 3, and type 4, respectively (for details, refer to table 4-20). *2: in addition to the configurations shown in table 4-27, the hv dd n channel open drain bi-directional buffers may be c onfigured without test pins. customers desiring to use such configurat ions should direct inquiries to epson.
chapter 4 types of input/output buffers and their use standard cell s1k70000 series epson 57 embedded array S1X70000 series (2) lv dd bi-directional buffers tables 4-28 and 4-29 list the lv dd bi-directional buffers (3.3-v buffers: y type). table 4-28 lv dd bi-directional buffers list input level function i oh /i ol cell name *1, *2 bi-directional output for high speed type 1 type 2 type 3 type 4 lbbc#aty lvcmos bi-directional output for low noise type 1 type 2 type 3 type 4 lbbc#bty bi-directional output for high speed type 1 type 2 type 3 type 4 lbbh#aty lvcmos schmitt bi-directional output for low noise type 1 type 2 type 3 type 4 lbbh#bty bi-directional output for high speed bus hold circuit type 1 type 2 type 3 type 4 lbbc#ahty lvcmos bi-directional output for low noise bus hold circuit type 1 type 2 type 3 type 4 lbbc#bhty bi-directional output for high speed bus hold circuit type 1 type 2 type 3 type 4 lbbh#ahty lvcmos schmitt bi-directional output for low noise bus hold circuit type 1 type 2 type 3 type 4 lbbh#bhty notes *1: the # denotes 1, 2, 3, or 4, with the i oh /i ol values corresponding to type 1, type 2, type 3, and type 4, respectively (for details, refer to table 4-22). *2: in addition to the configurations shown in table 4-28, the lv dd bi-directional buffers may be configured with pull-up/pull-down re sistors or without test pins. customers desiring to use such configurat ions should direct inquiries to epson.
chapter 4 types of input/output buffers and their use 58 epson standard cell s1k70000 series embedded array S1X70000 series table 4-29 lv dd n channel open drain bi-directional buffers list input level function i ol cell name *1, *2 bi-directional output for high speed type 1 type 2 type 3 type 4 lbdc#aty lvcmos bi-directional output for low noise type 1 type 2 type 3 type 4 lbdc#bty bi-directional output for high speed type 1 type 2 type 3 type 4 lbdh#aty lvcmos schmitt bi-directional output for low noise type 1 type 2 type 3 type 4 lbdh#bty notes *1: the # denotes 1, 2, 3, or 4, with the i ol values corresponding to type 1, type 2, type 3, and type 4, respectively (for details, refer to table 4-24). *2: in addition to the configurations shown in table 4-29, the lv dd n channel open drain bi-directional buffers may be c onfigured without test pins. customers desiring to use such configurat ions should direct inquiries to epson.
chapter 4 types of input/output buffers and their use standard cell s1k70000 series epson 59 embedded array S1X70000 series 4.3.4 fail safe cells 4.3.4.1 overview the dual-power-supply fail safe cells are outlined in section 4.2.4.1, ?overview? (the fail safe cells used in the dual-power-supply specification are lv dd cells). 4.3.4.2 features for the features of the dual-power-supply fa il safe cells, refer to section 4.2.4.2, ?features.? 4.3.4.3 usage precautions for precautions to be taken when dual-power-supply fail safe cells are used, refer to section 4.2.4.3, ?usage precautions.? 4.3.4.4 list of cells table 4-30 fail safe input buffers list cell name *1, *2 input level whether pull-up resistors are included lifcp#ty lvcmos pull-up resistors lifhp#ty lvcmos schmitt pull-up resistors notes *1: the # denotes 1 or 2, with the pull-up resistance values corresponding to type 1 and type 2, respectively (for details, refer to table 4-16). *2: in addition to the configurations shown in table 4-30, the fail safe input buffers may be configured without test pins. customers desiring to use such configurat ions should direct inquiries to epson. table 4-31 fail safe output buffers list function i oh /i ol cell name *1, *2 3-state output for high speed type 1 type 2 type 3 type 4 ltf#aty 3-state output for low noise type 1 type 2 type 3 type 4 ltf#bty notes *1: the # denotes 1, 2, 3, or 4, with the i oh /i ol values corresponding to type 1, type 2, type 3, and type 4, respectively (for details, refer to table 4-22). *2: in addition to the configurations shown in table 4-31, the fail safe output buffers may be configured without test pins. customers desiring to use such configurat ions should direct inquiries to epson.
chapter 4 types of input/output buffers and their use 60 epson standard cell s1k70000 series embedded array S1X70000 series table 4-32 fail safe bi-directional buffers list input level function i oh /i ol cell name *1, *2 bi-directional output for high speed type 1 type 2 type 3 type 4 lbfc#aty lvcmos bi-directional output for low noise type 1 type 2 type 3 type 4 lbfc#bty bi-directional output for high speed type 1 type 2 type 3 type 4 lbfh#aty lvcmos schmitt bi-directional output for low noise type 1 type 2 type 3 type 4 lbfh#bty notes *1: the # denotes 1, 2, 3, or 4, with the i oh /i ol values corresponding to type 1, type 2, type 3, and type 4, respectively (for details, refer to table 4-22). *2: in addition to the configurations shown in t able 4-32, the fail safe bi-directional buffers may be configures with pull-up/pull-down resistors or without test pins. customers desiring to use such configurat ions should direct inquiries to epson.
chapter 4 types of input/output buffers and their use standard cell s1k70000 series epson 61 embedded array S1X70000 series 4.3.5 gated cells 4.3.5.1 overview the dual-power-supply gated cells are outlined in section 4.2.5.1, ?overview.? (the gated cells for the dual-power-supply specification are lv dd cells.) 4.3.5.2 features for the features of the dual-power-supply gated cells, refer to section 4.2.5.2, ?features.? 4.3.5.3 usage precautions for the precautions to be taken when dual-power-supply gated cells are used, refer to section 4.2.5.3, ?usage precautions.? 4.3.5.4 list of cells table 4-33 gated cell input buffers list cell name *1, *2 input level whether pull-up/pull- down resistors are included libaty libap#ty libad#ty lvcmos lvcmos lvcmos none pull-up resistor pull-down resistor notes *1: the # denotes 1 or 2, with the pull-up/pull-down resistance values corresponding to type 1 and type 2, respectively (for details, refer to table 4-16). *2: in addition to the configurations shown in t able 4-33, the gated input buffers may be configured without test pins. customers desiring to use such configurat ions should direct inquiries to epson. table 4-34 gated cell bi-directional buffers list input level function i oh /i ol cell name *1, *2 bi-directional output for high speed type 1 type 2 type 3 type 4 lbba#aty lvcmos bi-directional output for low noise type 1 type 2 type 3 type 4 lbba#bty notes *1: the # denotes 1, 2, 3, or 4, with the i oh /i ol values corresponding to type 1, type 2, type 3, and type 4, respectively (for details, refer to table 4-22). *2: in addition to the configurations shown in table 4-34, the gated bi-directional buffers may be configured with pull-up/pull-down re sistors or without test pins. customers desiring to use such configurat ions should direct inquiries to epson.
chapter 4 types of input/output buffers and their use 62 epson standard cell s1k70000 series embedded array S1X70000 series 4.3.6 cutoff cells 4.3.6.1 overview the s1k/S1X70000 series includes a new type of cell known as a cutoff cell, which is used to cut off a high-voltage (hv) power supply. the cutoff cells allow the high-voltage (hv dd ) power supply in a dual-power-supply design to be cut off. by pulling control signals low, it is possible to inhibit current from flowing in hv input cells. 4.3.6.2 features (1) the cutoff cells can be positioned as desired by customers. there are no limitations on the number of cells used or the locations in which they are placed. this provides greater freedom of design. (2) when the hv dd power supply is to be cut off while the lv dd power supply is on, it is possible to inhibit current from flowing in the input buffers by pulling control signals low. (3) when bi-directional buffers are used, however, make sure that, after the hv dd power supply is cut off, inputs are left in the floa ting, or high-z, state. if input signals are applied while the hv dd power supply is off, current leakage occurs. 4.3.6.3 usage precautions to place inputs in the high-z state through the use of cutoff cells, inputs to pins must be shut off by pulling control signals low before they enter the high-z state. if inputs are placed in the high-z state without performing this control, current may flow into the cutoff cell as in ordinary cells. in addition, make sure the control signal is always held high except in cutoff mode. if a low-level signal is applied to any pin while the control signal remains low, an amount of current equivalent to the pull-down resistance continues flowing into the input buffer. pad x c ts hv dd lv dd lv dd figure 4-2 cutoff cell circuit
chapter 4 types of input/output buffers and their use standard cell s1k70000 series epson 63 embedded array S1X70000 series 4.3.6.4 list of cells table 4-35 cutoff cell input buffers list cell name *1, *2 input level whether pull-up/pull- down resistors are included hibvty hibvp#ty hibvd#ty lvcmos lvcmos lvcmos none pull-up resistor pull-down resistor notes *1: the # denotes 1 or 2, with the pull-up/pull-down resistance values corresponding to type 1 and type 2, respectively (for details, refer to table 4-14). *2: in addition to the configurations shown in t able 4-35, the cutoff input buffers may be configured without test pins. customers desiring to use such configurat ions should direct inquiries to epson. table 4-36 cutoff cell bi-directional buffers list input level function i oh /i ol cell name *1, *2 bi-directional output for high speed type 1 type 2 type 3 type 4 hbbv#aty lvcmos bi-directional output for low noise type 1 type 2 type 3 type 4 hbbv#bty notes *1: the # denotes 1, 2, 3, or 4, with the i oh /i ol values corresponding to type 1, type 2, type 3, and type 4, respectively (for details, refer to table 4-18). *2: in addition to the configurations shown in t able 4-36, the cutoff bi-directional buffers may be configured with pull-up/pull-down re sistors or without test pins. customers desiring to use such configurat ions should direct inquiries to epson. table 4-37 cutoff cell n channel open drain bi-directional buffers list input level function i ol cell name *1, *2 bi-directional output for high speed type 1 type 2 type 3 type 4 hbdv#aty lvcmos bi-directional output for low noise type 1 type 2 type 3 type 4 hbdv#bty notes *1: the # denotes 1, 2, 3, or 4, with the i ol values corresponding to type 1, type 2, type 3, and type 4, respectively (for details, refer to table 4-20). *2: in addition to the configurations shown in table 4-37, the cutoff n channel open drain bi-directional buffers may be c onfigured without test pins. customers desiring to use such configurat ions should direct inquiries to epson.
chapter 4 types of input/output buffers and their use 64 epson standard cell s1k70000 series embedded array S1X70000 series 4.4 single-power-supply input/output buffers (2.5-v buffers: x type) 4.4.1 input buffers table 4-38 rated pull-up/pull-down resistance values at each voltage resistance value type of pull-up/pull-down resistor v dd = 1.8 v v dd = 1.5 v unit type 1 45 70 k ? type 2 90 140 k ? table 4-39 input buffers list cell name *1, *2 input level whether pull-up/pull -down resistors are included mibcx mibcp#tx mibcd#tx lvcmos lvcmos lvcmos none pull-up resistor pull-down resistor mibhx mibhp#tx mibhd#tx lvcmos schmitt lvcmos schmitt lvcmos schmitt none pull-up resistor pull-down resistor notes *1: the # denotes 1 or 2, with the pull-up/pull-down resistance values corresponding to type 1 and type 2, respectively (for details, refer to table 4-38). *2: in addition to the configurations shown in table 4-39, the input buffers may be configured without test pins. customers desiring to use such configurat ions should direct inquiries to epson. 4.4.2 output buffers tables 4-41 and 4-43 list the output buffers (2.5-v buffers: x type). table 4-40 rated i oh and i ol values at each voltage i oh *1 /i ol *2 type of output current v dd = 1.8 v v dd = 1.5 v unit type 1 -1.5/1.5 -1/1 ma type 2 -3/3 -2/2 ma type 3 -6/6 -4/4 ma type 4 -9/9 -6/6 ma note *1: v oh = v dd - 0.4 v *2: v ol = 0.4 v
chapter 4 types of input/output buffers and their use standard cell s1k70000 series epson 65 embedded array S1X70000 series table 4-41 output buffers list function i oh /i ol cell name *1, *2 normal output for high speed type 1 type 2 type 3 type 4 mob#atx normal output for low noise type 1 type 2 type 3 type 4 mob#btx 3-state output for high speed type 1 type 2 type 3 type 4 mtb#atx 3-state output for low noise type 1 type 2 type 3 type 4 mtb#btx 3-state output for high speed bus hold circuit type 1 type 2 type 3 type 4 mtb#ahtx 3-state output for low noise bus hold circuit type 1 type 2 type 3 type 4 mtb#bhtx notes *1: the # denotes 1, 2, 3, or 4, with the i oh /i ol values corresponding to type 1, type 2, type 3, and type 4, respectively (for details, refer to table 4-40). *2: in addition to the configurations shown in table 4-41, the output buffers may be configured without test pins. customers desiring to use such configurat ions should direct inquiries to epson. table 4-42 rated i ol values at each voltage i ol *1 type of output current v dd = 1.8 v v dd = 1.5 v unit type 1 1.5 1 ma type 2 3 2 ma type 3 6 4 ma type 4 9 6 ma note *1: v ol = 0.4 v
chapter 4 types of input/output buffers and their use 66 epson standard cell s1k70000 series embedded array S1X70000 series table 4-43 n channel open drain output buffers list function i ol cell name *1, *2 normal output for high speed type 1 type 2 type 3 type 4 mod#atx normal output for low noise type 1 type 2 type 3 type 4 mod#btx notes *1: the # denotes 1, 2, 3, or 4, with the i ol values corresponding to type 1, type 2, type 3, and type 4, respectively (for details, refer to table 4-42). *2: in addition to the configurations shown in table 4-43, the n channel open drain output buffers may be configured without test pins. customers desiring to use such configurat ions should direct inquiries to epson.
chapter 4 types of input/output buffers and their use standard cell s1k70000 series epson 67 embedded array S1X70000 series 4.4.3 bi-directional buffers tables 4-44 and 4-45 list the bi-directi onal buffers (2.5-v buffers: x type). table 4-44 bi-directional buffers list function i oh /i ol cell name *1, *2 bi-directional output for high speed type 1 type 2 type 3 type 4 mbbc#atx lvcmos bi-directional output for low noise type 1 type 2 type 3 type 4 mbbc#btx bi-directional output for high speed type 1 type 2 type 3 type 4 mbbh#atx lvcmos schmitt bi-directional output for low noise type 1 type 2 type 3 type 4 mbbh#btx bi-directional output for high speed bus hold circuit type 1 type 2 type 3 type 4 mbbc#ahtx lvcmos bi-directional output for low noise bus hold circuit type 1 type 2 type 3 type 4 mbbc#bhtx bi-directional output for high speed bus hold circuit type 1 type 2 type 3 type 4 mbbh#ahtx lvcmos schmitt bi-directional output for low noise bus hold circuit type 1 type 2 type 3 type 4 mbbh#bhtx notes *1: the # denotes 1, 2, 3, or 4, with the i oh /i ol values corresponding to type 1, type 2, type 3, and type 4, respectively (for details, refer to table 4-40). *2: in addition to the configurations shown in table 4-44, the bi-directional buffers may be configured with pull-up/pull-down re sistors or without test pins. customers desiring to use such configuratio ns should direct inquiries to epson.
chapter 4 types of input/output buffers and their use 68 epson standard cell s1k70000 series embedded array S1X70000 series table 4-45 n channel open drain bi-directional buffers list function i ol cell name *1, *2 bi-directional output for high speed type 1 type 2 type 3 type 4 mbdc#atx lvcmos bi-directional output for low noise type 1 type 2 type 3 type 4 mbdc#btx bi-directional output for high speed type 1 type 2 type 3 type 4 mbdh#atx lvcmos schmitt bi-directional output for low noise type 1 type 2 type 3 type 4 mbdh#btx notes *1: the # denotes 1, 2, 3, or 4, with the i ol values corresponding to type 1, type 2, type 3, and type 4, respectively (for details, refer to table 4-42). *2: in addition to the configurations shown in table 4-45, the n channel open drain bi-directional buffers may be configured without test pins. customers desiring to use such configurat ions should direct inquiries to epson.
chapter 4 types of input/output buffers and their use standard cell s1k70000 series epson 69 embedded array S1X70000 series 4.4.4 fail safe cells 4.4.4.1 overview the fail safe cells are outlined in section 4.2.4.1, ?overview.? 4.4.4.2 features for the features of the fail safe cells, refer to section 4.2.4.2, ?features.? 4.4.4.3 usage precautions for the precautions to be taken in the use of the fail safe cells, refer to section 4.2.4.3, ?usage precautions.? 4.4.4.4 list of cells table 4-46 fail safe input buffers list cell name *1, *2 input level whether pull-up resistors are included mifcp#tx lvcmos pull-up resistor mifhp#tx lvcmos schmitt pull-up resistor notes *1: the # denotes 1 or 2, with the pull-up resistance values corresponding to type 1 and type 2, respectively (for details, refer to table 4-38). *2: in addition to the configurations shown in table 4-46, the fail safe input buffers may be configured without test pins. customers desiring to use such configurat ions should direct inquiries to epson. table 4-47 fail safe output buffers list function i oh /i ol cell name *1, *2 3-state output for high speed type 1 type 2 type 3 type 4 mtf#atx 3-state output for low noise type 1 type 2 type 3 type 4 mtf#btx notes *1: the # denotes 1, 2, 3, or 4, with the i oh /i ol values corresponding to type 1, type 2, type 3, and type 4, respectively (for details, refer to table 4-40). *2: in addition to the configurations shown in table 4-47, the fail safe output buffers may be configured without test pins. customers desiring to use such configurat ions should direct inquiries to epson.
chapter 4 types of input/output buffers and their use 70 epson standard cell s1k70000 series embedded array S1X70000 series table 4-48 fail safe bi-directional buffers list input level function i oh /i ol cell name *1, *2 bi-directional output for high speed type 1 type 2 type 3 type 4 mbfc#atx lvcmos bi-directional output for low noise type 1 type 2 type 3 type 4 mbfc#btx bi-directional output for high speed type 1 type 2 type 3 type 4 mbfh#atx lvcmos schmitt bi-directional output for low noise type 1 type 2 type 3 type 4 mbfh#btx notes *1: the # denotes 1, 2, 3, or 4, with the i oh /i ol values corresponding to type 1, type 2, type 3, and type 4, respectively (for details, refer to table 4-40). *2: in addition to the configurations shown in t able 4-48, the fail safe bi-directional buffers may be configured with pull-up/pull-down re sistors or without test pins. customers desiring to use such configurat ions should direct inquiries to epson.
chapter 4 types of input/output buffers and their use standard cell s1k70000 series epson 71 embedded array S1X70000 series 4.4.5 gated cells 4.4.5.1 overview the gated cells are outlined in section 4.2.5.1, ?overview.? 4.4.5.2 features for the features of the gated cells, re fer to section 4.2.5.2, ?features.? 4.4.5.3 usage precautions for the precautions to be taken in the use of gated cells, refer to section 4.2.5.3, ?usage precautions.? 4.4.5.4 list of cells table 4-49 gated input buffers list cell name *1, *2 input level whether pull-up/pull- down resistors are included mibatx mibap#tx mibad#tx lvcmos lvcmos lvcmos none pull-up resistor pull-down resistor notes *1: the # denotes 1 or 2, with the pull-up/pull-down resistance values corresponding to type 1 and type 2, respectively (for details, refer to table 4-38). *2: in addition to the configurations shown in t able 4-49, the gated input buffers may be configured without test pins. customers desiring to use such configurat ions should direct inquiries to epson. table 4-50 gated bi-directional buffers list input level function i oh /i ol cell name *1,*2 bi-directional output for high speed type 1 type 2 type 3 type 4 mbba#atx lvcmos bi-directional output for low noise type 1 type 2 type 3 type 4 mbba#btx notes *1: the # denotes 1, 2, 3, or 4, with the i oh /i ol values corresponding to type 1, type 2, type 3, and type 4, respectively (for details, refer to table 4-40). *2: in addition to the configurations shown in table 4-50, the gated bi-directional buffers may be configured with pull-up/pull-down re sistors or without test pins. customers desiring to use such configurat ions should direct inquiries to epson.
chapter 4 types of input/output buffers and their use 72 epson standard cell s1k70000 series embedded array S1X70000 series 4.5 dual-power-supply input/output buffers (2.5-v buffers: x type) if your system uses dual power supplies, use input/output buffers designed exclusively for operation with dual power supplies. (in this case, be careful not to use input/output buffers designed for operation with a single power supply). (1) hv dd input/output buffers the hv dd input/output buffers are available in several types, including input buffers that accept as input 2.5-v signals, outp ut buffers that output 2.5-v amplitude signals, and bi-directional buffers that accept as input 2.5-v signals or output 2.5-v amplitude signals. (2) lv dd input/output buffers the lv dd input/output buffers are available in several types, including input buffers that accept as input 1.8-v (or 1.5-v) sign als, output buffers that output 1.8-v (or 1.5-v) amplitude signals, and bi-directional buffers that accept as input 1.8-v (or 1.5-v) signals or output 1.8-v (or 1.5-v) amplitude signals. for lv dd input or bi-directional buffers with pull-ups, do not apply voltages above lv dd . this is due to the fact that, if hv dd signals are supplied to those buffers, an excessive current flows in their internal pr otective diode, causing their quality to degrade. (in such a case, use the fail safe cells described in section 4.5.4, ?fail safe cells.?) 4.5.1 input buffers (1) hv dd input buffers the input buffers are configured using only input cells. the hv dd input buffers consist of a first input stage configured using an hv dd input circuit and a next stage configured using an lv dd circuit, so that hv dd signals are converted into lv dd signals before being fed into the msi cell (internal cell area). table 4-52 lists the hv dd input buffers (2.5-v buffers: x type). table 4-51 rated pull-up/pull-down resistance values at each voltage resistance value type of pull-up/pull-down resistor hv dd = 2.5 v unit type 1 50 k ? type 2 100 k ?
chapter 4 types of input/output buffers and their use standard cell s1k70000 series epson 73 embedded array S1X70000 series table 4-52 hv dd input buffers list cell name *1, *2 input level whether pull-up/pull- down resistors are included hibcx hibcp#tx hibcd#tx lvcmos lvcmos lvcmos none pull-up resistor pull-down resistor hibhx hibhp#tx hibhd#tx lvcmos schmitt lvcmos schmitt lvcmos schmitt none pull-up resistor pull-down resistor notes *1: the # denotes 1 or 2, with the pull-up/pull-down resistance values corresponding to type 1 and type 2, respectively (for details, refer to table 4-51). *2: in addition to the configurations shown in table 4-52, the hv dd input buffers may be configured without test pins. customers desiring to use such configurat ions should direct inquiries to epson. (2) lv dd input buffers the input buffers are configured using only input cells. table 4-54 lists the lv dd input buffers (2.5-v buffers: x type). table 4-53 rated pull-up/pull-down resistance values at each voltage resistance value type of pull-up/pull-down resistor lv dd = 1.8 v lv dd = 1.5 v unit type 1 45 70 k ? type 2 90 140 k ? table 4-54 lv dd input buffers list cell name *1, *2 input level whether pull-up/pull- down resistors are included libcx libcp#tx libcd#tx lvcmos lvcmos lvcmos none pull-up resistor pull-down resistor libhx libhp#tx libhd#tx lvcmos schmitt lvcmos schmitt lvcmos schmitt none pull-up resistor pull-down resistor notes *1: the # denotes 1 or 2, with the pull-up/pull-down resistance values corresponding to type 1 and type 2, respectively (for details, refer to table 4-53). *2: in addition to the configurations shown in table 4-54, the lv dd input buffers may be configured without test pins. customers desiring to use such configurat ions should direct inquiries to epson. 4.5.2 output buffers (1) hv dd output buffers tables 4-56 and 4-58 list the hv dd output buffers (2.5-v buffers: x type).
chapter 4 types of input/output buffers and their use 74 epson standard cell s1k70000 series embedded array S1X70000 series table 4-55 rated i oh and i ol values at each voltage i oh *1 /i ol *2 type of output current hv dd = 2.5 v unit type 1 -2/2 ma type 2 -4/4 ma type 3 -8/8 ma type 4 -12/12 ma notes *1: v oh = hv dd - 0.4 v *2: v ol = 0.4 v table 4-56 hv dd output buffers list function i oh /i ol cell name *1, *2 normal output for high speed type 1 type 2 type 3 type 4 hob#atx normal output for low noise type 1 type 2 type 3 type 4 hob#btx 3-state output for high speed type 1 type 2 type 3 type 4 htb#atx 3-state output for low noise type 1 type 2 type 3 type 4 htb#btx 3-state output for high speed bus hold circuit type 1 type 2 type 3 type 4 htb#ahtx 3-state output for low noise bus hold circuit type 1 type 2 type 3 type 4 htb#bhtx notes *1: the # denotes 1, 2, 3, or 4, with the i oh /i ol values corresponding to type 1, type 2, type 3, and type 4, respectively (for details, refer to table 4-55). *2: in addition to the configurations shown in table 4-56, the hv dd output buffers may be configured without test pins. customers desiring to use such configurat ions should direct inquiries to epson.
chapter 4 types of input/output buffers and their use standard cell s1k70000 series epson 75 embedded array S1X70000 series table 4-57 rated i ol values at each voltage i ol *1 type of output current hv dd = 2.5 v unit type 1 2 ma type 2 4 ma type 3 8 ma type 4 12 ma note *1: v ol = 0.4 v table 4-58 hv dd n channel open drain output buffers list function i ol cell name *1, *2 normal output for high speed type 1 type 2 type 3 type 4 hod#atx normal output for low noise type 1 type 2 type 3 type 4 hod#btx notes *1: the # denotes 1, 2, 3, or 4, with the i ol values corresponding to type 1, type 2, type 3, and type 4, respectively (for details, refer to table 4-57). *2: in addition to the configurations shown in table 4-58, the hv dd n channel open drain output buffers may be configured without test pins. customers desiring to use such configurat ions should direct inquiries to epson. (2) lv dd output buffers tables 4-60 and 4-62 list the lv dd output buffers (2.5-v buffers: x type). table 4-59 rated i oh and i ol values at each voltage i oh *1 /i ol *2 type of output current lv dd = 1.8 v lv dd = 1.5 v unit type 1 -1.5/1.5 -1/1 ma type 2 -3/3 -2/2 ma type 3 -6/6 -4/4 ma type 4 -9/9 -6/6 ma notes *1: v oh = lv dd - 0.4 v *2: v ol = 0.4 v
chapter 4 types of input/output buffers and their use 76 epson standard cell s1k70000 series embedded array S1X70000 series table 4-60 lv dd output buffers list function i oh /i ol cell name *1, *2 normal output for high speed type 1 type 2 type 3 type 4 lob#atx normal output for low noise type 1 type 2 type 3 type 4 lob#btx 3-state output for high speed type 1 type 2 type 3 type 4 ltb#atx 3-state output for low noise type 1 type 2 type 3 type 4 ltb#btx 3-state output for high speed bus hold circuit type 1 type 2 type 3 type 4 ltb#ahtx 3-state output for low noise bus hold circuit type 1 type 2 type 3 type 4 ltb#bhtx notes *1: the # denotes 1, 2, 3, or 4, with the i oh /i ol values corresponding to type 1, type 2, type 3, and type 4, respectively (for details, refer to table 4-59). *2: in addition to the configurations shown in table 4-60, the lv dd output buffers may be configured without test pins. customers desiring to use such configurat ions should direct inquiries to epson. table 4-61 rated i ol values at each voltage i ol *1 type of output current lv dd = 1.8 v lv dd = 1.5 v unit type 1 1.5 1 ma type 2 3 2 ma type 3 6 4 ma type 4 9 6 ma note *1: v ol = 0.4 v
chapter 4 types of input/output buffers and their use standard cell s1k70000 series epson 77 embedded array S1X70000 series table 4-62 lv dd n channel open drain output buffers list function i ol cell name *1, *2 normal output for high speed type 1 type 2 type 3 type 4 lod#atx normal output for low noise type 1 type 2 type 3 type 4 lod#btx notes *1: the # denotes 1, 2, 3, or 4, with the i ol values corresponding to type 1, type 2, type 3, and type 4, respectively (for details, refer to table 4-61). *2: in addition to the configurations shown in table 4-62, the lv dd n channel open drain output buffers may be configured without test pins. customers desiring to use such configurat ions should direct inquiries to epson.
chapter 4 types of input/output buffers and their use 78 epson standard cell s1k70000 series embedded array S1X70000 series 4.5.3 bi-directional buffers (1) hv dd bi-directional buffers tables 4-63 and 4-64 list the hv dd bi-directional buffers (2.5-v buffers: x type). table 4-63 hv dd bi-directional buffers list input level function i oh /i ol cell name *1, *2 bi-directional output for high speed type 1 type 2 type 3 type 4 hbbc#atx lvcmos bi-directional output for low noise type 1 type 2 type 3 type 4 hbbc#btx bi-directional output for high speed type 1 type 2 type 3 type 4 hbbh#atx lvcmos schmitt bi-directional output for low noise type 1 type 2 type 3 type 4 hbbh#btx bi-directional output for high speed bus hold circuit type 1 type 2 type 3 type 4 hbbc#ahtx lvcmos bi-directional output for low noise bus hold circuit type 1 type 2 type 3 type 4 hbbc#bhtx bi-directional output for high speed bus hold circuit type 1 type 2 type 3 type 4 hbbh#ahtx lvcmos schmitt bi-directional output for low noise bus hold circuit type 1 type 2 type 3 type 4 hbbh#bhtx notes *1: the # denotes 1, 2, 3, or 4, with the i oh /i ol values corresponding to type 1, type 2, type 3, and type 4, respectively (for details, refer to table 4-55). *2: in addition to the configurations shown in table 4-63, the hv dd bi-directional buffers may be configured with pull-up/pull-down re sistors or without test pins. customers desiring to use such configuratio ns should direct inquiries to epson.
chapter 4 types of input/output buffers and their use standard cell s1k70000 series epson 79 embedded array S1X70000 series table 4-64 hv dd n channel open drain bi-directional buffers list input level function i ol cell name *1, *2 bi-directional output for high speed type 1 type 2 type 3 type 4 hbdc#atx lvcmos bi-directional output for low noise type 1 type 2 type 3 type 4 hbdc#btx bi-directional output for high speed type 1 type 2 type 3 type 4 hbdh#atx lvcmos schmitt bi-directional output for low noise type 1 type 2 type 3 type 4 hbdh#btx notes *1: the # denotes 1, 2, 3, or 4, with the i ol values corresponding to type 1, type 2, type 3, and type 4, respectively (for details, refer to table 4-57). *2: in addition to the configurations shown in table 4-64, the hv dd n channel open drain bi-directional buffers may be c onfigured without test pins. customers desiring to use such configurat ions should direct inquiries to epson.
chapter 4 types of input/output buffers and their use 80 epson standard cell s1k70000 series embedded array S1X70000 series (2) lv dd bi-directional buffers tables 4-65 and 4-66 list the lv dd bi-directional buffers (2.5-v buffers: x type). table 4-65 lv dd bi-directional buffers list input level function i ohl /i ol cell name *1, *2 bi-directional output for high speed type 1 type 2 type 3 type 4 lbbc#atx lvcmos bi-directional output for low noise type 1 type 2 type 3 type 4 lbbc#btx bi-directional output for high speed type 1 type 2 type 3 type 4 lbbh#atx lvcmos schmitt bi-directional output for low noise type 1 type 2 type 3 type 4 lbbh#btx bi-directional output for high speed bus hold circuit type 1 type 2 type 3 type 4 lbbc#ahtx lvcmos bi-directional output for low noise bus hold circuit type 1 type 2 type 3 type 4 lbbc#bhtx bi-directional output for high speed bus hold circuit type 1 type 2 type 3 type 4 lbbh#ahtx lvcmos schmitt bi-directional output for low noise bus hold circuit type 1 type 2 type 3 type 4 lbbh#bhtx notes *1: the # denotes 1, 2, 3, or 4, with the i oh /i ol values corresponding to type 1, type 2, type 3, and type 4, respectively (for details, refer to table 4-59). *2: in addition to the configurations shown in table 4-65, the lv dd bi-directional buffers may be configured with pull-up/pull-down re sistors or without test pins. customers desiring to use such configurat ions should direct inquiries to epson.
chapter 4 types of input/output buffers and their use standard cell s1k70000 series epson 81 embedded array S1X70000 series table 4-66 lv dd n channel open drain bi-directional buffers list input level function i ol cell name *1, *2 bi-directional output for high speed type 1 type 2 type 3 type 4 lbdc#atx lvcmos bi-directional output for low noise type 1 type 2 type 3 type 4 lbdc#btx bi-directional output for high speed type 1 type 2 type 3 type 4 lbdh#atx lvcmos schmitt bi-directional output for low noise type 1 type 2 type 3 type 4 lbdh#btx notes *1: the # denotes 1, 2, 3, or 4, with the i ol values corresponding to type 1, type 2, type 3, and type 4, respectively (for details, refer to table 4-61). *2: in addition to the configurations shown in table 4-66, the lv dd n channel open drain bi-directional buffers may be c onfigured without test pins. customers desiring to use such configurat ions should direct inquiries to epson.
chapter 4 types of input/output buffers and their use 82 epson standard cell s1k70000 series embedded array S1X70000 series 4.5.4 fail safe cells 4.5.4.1 overview the dual-power-supply fail safe cells are outlined in section 4.2.4.1, ?overview.? (the fail safe cells for the dual-power-supply specification are lv dd cells.) 4.5.4.2 features for the features of the dual-power-supply fa il safe cells, refer to section 4.2.4.2, ?features.? 4.5.4.3 usage precautions for the precautions to be taken in the use of the dual-power-supply fail safe cells, refer to section 4.2.4.3, ?usage precautions.? 4.5.4.4 list of cells table 4-67 fail safe input buffers list cell name *1, *2 input level whether pull-up resistors are included lifcp#tx lvcmos pull-up resistors lifhp#tx lvcmos schmitt pull-up resistors notes *1: the # denotes 1 or 2, with the pull-up resistance values corresponding to type 1 and type 2, respectively (for details, refer to table 4-53). *2: in addition to the configurations shown in table 4-67, the fail safe input buffers may be configured without test pins. customers desiring to use such configurat ions should direct inquiries to epson.
chapter 4 types of input/output buffers and their use standard cell s1k70000 series epson 83 embedded array S1X70000 series table 4-68 fail safe output buffers list function i oh /i ol cell name *1, *2 3-state output for high speed type 1 type 2 type 3 type 4 ltf#atx 3-state output for low noise type 1 type 2 type 3 type 4 ltf#btx notes *1: the # denotes 1, 2, 3, or 4, with the i oh /i ol values corresponding to type 1, type 2, type 3, and type 4, respectively (for details, refer to table 4-59). *2: in addition to the configurations shown in table 4-68, the fail safe output buffers may be configured without test pins. customers desiring to use such configurat ions should direct inquiries to epson. table 4-69 fail safe bi-directional buffers list input level function i oh /i ol cell name *1, *2 bi-directional output for high speed type 1 type 2 type 3 type 4 lbfc#atx lvcmos bi-directional output for low noise type 1 type 2 type 3 type 4 lbfc#btx bi-directional output for high speed type 1 type 2 type 3 type 4 lbfh#atx lvcmos schmitt bi-directional output for low noise type 1 type 2 type 3 type 4 lbfh#btx notes *1: the # denotes 1, 2, 3, or 4, with the i oh /i ol values corresponding to type 1, type 2, type 3, and type 4, respectively (for details, refer to table 4-59). *2: in addition to the configurations shown in t able 4-69, the fail safe bi-directional buffers may be configured with pull-up/pull-down re sistors or without test pins. customers desiring to use such configurat ions should direct inquiries to epson.
chapter 4 types of input/output buffers and their use 84 epson standard cell s1k70000 series embedded array S1X70000 series 4.5.5 gated cells 4.5.5.1 overview the dual-power-supply gated cells are outlined in section 4.2.5.1, ?overview.? (the gated cells for the dual-power-supply specification are lv dd cells). 4.5.5.2 features for the features of the dual-power-supply gated cells, refer to section 4.2.5.2, ?features.? 4.5.5.3 usage precautions for the precautions to be taken in the use of dual-power-supply gated cells, refer to section 4.2.5.3, ?usage precautions.? 4.5.5.4 list of cells table 4-70 gated cell input buffers list cell name *1, *2 input level whether pull-up/pull -down resistors are included libatx libap#tx libad#tx lvcmos lvcmos lvcmos none pull-up resistor pull-down resistor notes *1: the # denotes 1 or 2, with the pull-up/pull-down resistance values corresponding to type 1 and type 2, respectively (for details, refer to table 4-53). *2: in addition to the configurations shown in t able 4-70, the gated input buffers may be configured without test pins. customers desiring to use such configurat ions should direct inquiries to epson. table 4-71 gated cell bi-directional buffers list input level function i oh /i ol cell name *1,*2 bi-directional output for high speed type 1 type 2 type 3 type 4 lbba#atx lvcmos bi-directional output for low noise type 1 type 2 type 3 type 4 lbba#btx notes *1: the # denotes 1, 2, 3, or 4, with the i oh /i ol values corresponding to type 1, type 2, type 3, and type 4, respectively (for details, refer to table 4-59). *2: in addition to the configurations shown in table 4-71, the gated bi-directional buffers may be configured with pull-up/pull-down re sistors or without test pins. customers desiring to use such configurat ions should direct inquiries to epson.
chapter 4 types of input/output buffers and their use standard cell s1k70000 series epson 85 embedded array S1X70000 series 4.5.6 cutoff cells 4.5.6.1 overview the dul-power-supply cutoff cells are outlined in section 4.3.6.1, ?overview.? 4.5.6.2 features for the features of the dual-power-supply cutoff cells, refer to section 4.3.6.2, ?features.? 4.5.6.3 usage precautions for the precautions to be taken in use of the dual-power-supply cutoff cells, refer to section 4.3.6.3, ?usage precautions.? 4.5.6.4 list of cells table 4-72 cutoff cell input buffers list cell name *1, *2 input level whether pull-up/pull- down resistors are included hibvtx hibvp#tx hibvd#tx lvcmos lvcmos lvcmos none pull-up resistor pull-down resistor notes *1: the # denotes 1 or 2, with the pull-up/pull-down resistance values corresponding to type 1 and type 2, respectively (for details, refer to table 4-51). *2: in addition to the configurations shown in t able 4-72, the cutoff input buffers may be configured without test pins. customers desiring to use such configurat ions should direct inquiries to epson. table 4-73 cutoff cell bi-directional buffers list input level function i oh /i ol cell name *1, *2 bi-directional output for high speed type 1 type 2 type 3 type 4 hbbv#atx lvcmos bi-directional output for low noise type 1 type 2 type 3 type 4 hbbv#btx notes *1: the # denotes 1, 2, 3, or 4, with the i oh /i ol values corresponding to type 1, type 2, type 3, and type 4, respectively (for details, refer to table 4-55). *2: in addition to the configurations shown in t able 4-73, the cutoff bi-directional buffers may be configured with pull-up/pull-down re sistors or without test pins. customers desiring to use such configurat ions should direct inquiries to epson.
chapter 4 types of input/output buffers and their use 86 epson standard cell s1k70000 series embedded array S1X70000 series table 4-74 n channel open drain cutoff cell bi-directional buffers list input level function i oh /i ol cell name *1, *2 bi-directional output for high speed type 1 type 2 type 3 type 4 hbdv#atx lvcmos bi-directional output for low noise type 1 type 2 type 3 type 4 hbdv#btx notes *1: the # denotes 1, 2, 3, or 4, with the i oh /i ol values corresponding to type 1, type 2, type 3, and type 4, respectively (for details, refer to table 4-57). *2: in addition to the configurations shown in t able 4-74, the cutoff bi-directional buffers may be configured with pull-up/pull-down re sistors or without test pins. customers desiring to use such configurat ions should direct inquiries to epson.
chapter 4 types of input/output buffers and their use standard cell s1k70000 series epson 87 embedded array S1X70000 series 4.6 dual power supplies guidelines the s1k/S1X70000 series allows each input/output buffer to be interfaced with 3.3-v, 2.5-v, 1.8-v, or 1.5-v signals as desired, us ing a dual-power-supply system. the internal cell area operates using a 1.8-v or 1.5-v single power supply. 4.6.1 method of adapting to dual power supplies the s1k/S1X70000 series allows input/output buffers to be interfaced with the signals of voltages that differ from the internal oper ating voltage. there are two methods for interfacing with different power-supply voltages. ? for a single power supply in a single-power-supply system, it is possible to apply input signals of voltages higher than the power supply voltage, using n channel open drain-type buffers or fail safe cells. however, high-voltage signals above the power-supply voltage cannot be output. this problem can be solved through the combined use of n channel open drain-type buffers and external pull-up resistors. ? for dual power supplies by using input buffers designed exclusively for operation with dual power supplies, it is possible to apply input signals of voltages hi gher than the internal operating voltage. similarly, high-voltage signals above the internal operating voltage can be output using dual-power-supply output buffers. 4.6.2 power supplies for dual power operation if your circuit is to be operated using two different power supplies, use two power-supply cells: hv dd and lv dd . specifically, hv dd may be used for hv dd input/output buffers, and lv dd may be used for lv dd input/output buffers and internal cells. the power-supply voltages must always satisfy the equation below. hv dd lv dd if hv dd < lv dd , operation of the internal circuit cannot be guaranteed. the operating conditions specified below are recommended. ? hv dd = 3.3 v, lv dd = 2.5 v ? hv dd = 3.3 v, lv dd = 1.8 v ? hv dd = 3.3 v, lv dd = 1.5 v hv dd = 2.5 v, lv dd = 1.8 v hv dd = 2.5 v, lv dd = 1.5 v those marked with * are effective only when 3.3-v (y type) input/output buffers are used.
chapter 4 types of input/output buffers and their use 88 epson standard cell s1k70000 series embedded array S1X70000 series 4.6.3 turning on/off dual power supplies for chips designed to dual-power-supply specifications, make sure the power is turned on and off in the order specified below. when turning on: lv dd (internal) hv dd (i/o section) input signals applied when turning off: input signals off hv dd (i/o section) lv dd (internal) note 1: avoid keeping only hv dd turned on (for 10 sec or more) while lv dd is turned off, so as not to degrade the chip?s reliability. note 2: when turning hv dd back on after it was off, always be sure to initialize the circuit following power-on. this is necessary to ensure the inte rnal-circuit state in the event of power-supply noise or the like.
chapter 5 memory blocks standard cell s1k70000 series epson 89 embedded array S1X70000 series chapter 5 memory blocks the s1k/S1X70000 supports memory blocks. the types and features of the memory blocks are described in this chapter. 5.1 basic cell-type ram the basic cell-type ram comes in two type s: clock-synchronized 1-port ram and clock-synchronized 2-port ram. the chip-sel ect, write-enable, address, and data-input parts contain a latch circuit, making the ram capable of the clock-synchronized, high-speed operation. 5.1.1 features ? available as clock-synchronized 1-port ram or clock-synchronized 2-port ram ? the chip-select, write-enable, address, and data-input parts contain a latch circuit, making the ram capable of the clock-synchronized, high-speed operation. ? the data-input port and data-output port are separate. ? the data-output part contains a latch circuit, allowing readout data to be output continuously until the next read cycle. ? the ram can be configured with 16 words to 256 words in 4-word increments, with word sizes ranging from 1 bit to 32 bits in 1-bit increments. ? maximum configuration: 8k bits per module ? libraries using three types of transistors are available: standard-1, high-performance, and low-leakage types. 5.1.2 word/bit configurations of ram and cell names the delay parameters of the clock-synchronized ram vary depending on the word/bit configurations. therefore, cells are available separately for each word/bit configuration. when using clock-synchronized ram, please provide epson with information on whether you are using 1-port or 2-port ram an d how its word and bit are configured. tables 5-1 through 5-6 list the cell names corresponding to the typical word/bit configurations of 1-port an d 2-port rams. rams are assigned cell names according to their word/bit configurations. for any ram that exceeds the possible configuration range, use two or more pieces of ram in combination to obtain the desired configuration.
chapter 5 memory blocks 90 epson standard cell s1k70000 series embedded array S1X70000 series (1) for standard-1 type 1-port ram ?l1j xxx yy? 2-port ram ?l1k xxx yy? xxx denotes the number of words (hexadecimal) and yy denotes the number of bits (hexadecimal). table 5-1 relationship between word/bit configurations of 1-port ram (standard 1) and cell names 64 word 128 word 192 word 256 word 8 bit l1j04008 l1j08008 l1j0c008 l1j10008 16 bit l1j04010 l1j08010 l1j0c010 l1j10010 24 bit l1j04018 l1j08018 l1j0c018 l1j10018 32 bit l1j04020 l1j08020 l1j0c020 l1j10020 table 5-2 relationship between word/bit configurations of 2-port ram (standard 1) and cell names 64 word 128 word 192 word 256 word 8 bit l1k04008 l1k08008 l1k0c008 l1k10008 16 bit l1k04010 l1k08010 l1k0c010 l1k10010 24 bit l1k04018 l1k08018 l1k0c018 l1k10018 32 bit l1k04020 l1k08020 l1k0c020 l1k10020 (2) for high-performance type 1-port ram ?l2j xxx yy? 2-port ram ?l2k xxx yy? xxx denotes the number of words (hexadecimal) and yy denotes the number of bits (hexadecimal). table 5-3 relationship between word/bit configurations of 1-port ram (high-performance) and cell names 64 word 128 word 192 word 256 word 8 bit l2j04008 l2j08008 l2j0c008 l2j10008 16 bit l2j04010 l2j08010 l2j0c010 l2j10010 24 bit l2j04018 l2j08018 l2j0c018 l2j10018 32 bit l2j04020 l2j08020 l2j0c020 l2j10020 table 5-4 relationship between word/bit configurations of 2-port ram (high-performance) and cell names 64 word 128 word 192 word 256 word 8 bit l2k04008 l2k08008 l2k0c008 l2k10008 16 bit l2k04010 l2k08010 l2k0c010 l2k10010 24 bit l2k04018 l2k08018 l2k0c018 l2k10018 32 bit l2k04020 l2k08020 l2k0c020 l2k10020
chapter 5 memory blocks standard cell s1k70000 series epson 91 embedded array S1X70000 series (3) for low-leakage type 1-port ram ?l3j xxx yy? 2-port ram ?l3k xxx yy? xxx denotes the number of words (hexadecimal) and yy denotes the number of bits (hexadecimal). table 5-5 relationship between word/bit configurations of 1-port ram (low-leakage) and cell names 64 word 128 word 192 word 256 word 8 bit l3j04008 l3j08008 l3j0c008 l3j10008 16 bit l3j04010 l3j08010 l3j0c010 l3j10010 24 bit l3j04018 l3j08018 l3j0c018 l3j10018 32 bit l3j04020 l3j08020 l3j0c020 l3j10020 table 5-6 relationship between word/bit configurations of 2-port ram (low-leakage) and cell names 64 word 128 word 192 word 256 word 8 bit l3k04008 l3k08008 l3k0c008 l3k10008 16 bit l3k04010 l3k08010 l3k0c010 l3k10010 24 bit l3k04018 l3k08018 l3k0c018 l3k10018 32 bit l3k04020 l3k08020 l3k0c020 l3k10020 5.1.3 ram sizes to calculate the ram sizes in the x and y directions and the number of basic cells used, use the respective equations shown below. note that the equations shown below apply to all types of transistors: standard 1, high-performance, and low-leakage. (1) 1-port ram size in x direction : rx = (number of words / 4) x 7 + 35 size in y direction : ry = number of bits x 2 + 9 + number of basic cells : rambcs = rx x ry the factor is 3 when 16 number of words 32, or 4 when 36 number of words 256. table 5-7 typical configurations of 1-por t ram and number of basic cells 64 word 128 word 192 word 256 word 8 bit 4263 (147 x 29) 7511 (259 x 29) 10759 (371 x 29) 14007 (483 x 29) 16 bit 6615 (147 x 45) 11655 (259 x 45) 16695 (371 x 45) 21735 (483 x 45) 24 bit 8967 (147 x 61) 15799 (259 x 61) 22631 (371 x 61) 29463 (483 x 61) 32 bit 11319 (147 x 77) 19943 (259 x 77) 28567 (371 x 77) 37191 (483 x 77)
chapter 5 memory blocks 92 epson standard cell s1k70000 series embedded array S1X70000 series (2) 2-port ram size in x direction : rx = (number of words / 4) x 7 + 32 size in y direction : ry = number of bits x 2 + 9 + number of basic cells : rambcs = rx x ry the factor is 4 when 16 number of words 32, or 6 when 36 number of words 256. table 5-8 typical configurations of 2-por t ram and number of basic cells 64 word 128 word 192 word 256 word 8 bit 4464 (144 x 31) 7936 (256 x 31) 11408 (368 x 31) 14880 (480 x 31) 16 bit 6768 (144 x 47) 12032 (256 x 47) 17296 (368 x 47) 22560 (480 x 47) 24 bit 9072 (144 x 63) 16128 (256 x 63) 23184 (368 x 63) 30240 (480 x 63) 32 bit 11376 (144 x 79) 20224 (256 x 79) 29072 (368 x 79) 37920 (480 x 79) 5.1.4 functional description 5.1.4.1 1-port ram (1) input/output signals and block diagrams table 5-9 description of 1-port ram signals input/output signal symbol name functional description ck clock input chip select (xcs), write enable (xwe), address input (a0?an), and data input (d0?dn) are latched into the ram on the rising edge (low-to-high transition) of the clock input (ck). xcs chip select latched into the rising edge of the clock input (ck). memory is activated when the latched value is low. xwe write enable latched into the rising edge of the clock input (ck). memory is activated for write operation when the latched value is low or for read operation when the latched value is high. a0?an address input latched into the rising edge of the clock input (ck). d0?dn data input latched into the rising edge of the clock input (ck). when write enable (xwe) is low, the data is written to memory cells. y0?yn data output during reading, the data from memory cells is output a finite access time after the rising edge of the cloc k input (ck). during writing, the write data is forwarded to these pins synchronously with ck. therefore, make sure the previously read data is not retained at these pins before writing.
chapter 5 memory blocks standard cell s1k70000 series epson 93 embedded array S1X70000 series address buffer an ???????? a2 a1 memory cell array memory cell array memory cell array data i/o buffer d0 y0 data i/o buffer d1 y1 data i/o buffer dn yn control xc s ck xwe a0 figure 5-1 block diagram of 1-port ram (2) device operation for writing, assert chip select (xcs) and write enable (xwe) (by pulling them low), and set the address inputs (a0?an) and data inputs (d0?dn) before the clock input (ck) goes high. all of the chip-select, write-enable, address-input, and data-input signals are latched into the rising edge of the clock input, at which time memory is activated for write operation. the write data is output from the data-output pins (y0?yn) until the next rise of the clock input. for reading, assert chip select (xcs) an d deassert write enable (xwe) (by pulling xcs low and xwe high), and set the address inputs (a0?an) before the clock input (ck) goes high. all of the chip-select, write-enable, and address-input signals are latched into the rising edge of the clock input, at which time memory is activated for read operation. during this period, data is output from the data-output pins (y0?yn) a finite access time after the rise of the clock. table 5-10 truth table for 1-port ram operation ck xcs xwe output state operation mode l h l h read data read l h l l write data write l h h l or h data hold standby row decoder
chapter 5 memory blocks 94 epson standard cell s1k70000 series embedded array S1X70000 series 5.1.4.2 2-port ram (1) output signals and block diagrams ports 1 and 2 are used exclusively for writing and reading, respectively. each port comes equipped with a clock input pin, allowing them to be operated with different frequencies or timing independently of each other. be aware that no memory cells can be accessed from two ports at the same time. if write enable (xwa) for port 1 and read enable (xrb) for port 2 are both latched high, the ram is in standby state. table 5-11 description of 2-port ram signals signals for port 1 (write-only) input/output signal symbol name functional description cka clock input write enable (xwa), address input (aa0?aan), and data input (d0?dn) are latched into the ram on the rising edge (low-to-high transition) of the clock input (cka). xwa write enable latched into the rising edge of the clock input (cka). when the latched value is low, memory is activated for write operation. aa0?aan address input latched into the rising edge of the clock input (cka). d0?dn data input latched into the rising edge of the clock input (cka). when write enable (xwa) is low, data is written to the memory cells. signals for port 2 (read-only) input/output signal symbol name functional description ckb clock input read enable (xrb) and address input (ab0?abn) are latched into the ram on the rising edge (low-to-high transition) of the clock input (ckb). xrb read enable latched into the rising edge of the clock input (ckb). when the latched value is low, memory is activated for read operation. ab0?abn address input latched into the rising edge of the clock input (ckb). y0?yn data output the data from memory cells is output a finite access time after the rising edge of the clock input (ckb).
chapter 5 memory blocks standard cell s1k70000 series epson 95 embedded array S1X70000 series y0 ????? yn d0 ???? dn address buffer memory cell array data i/o buffer address buffer port2 control port1 control aan aa2 aa1 aa0 cka xwa abn ab2 ab1 ab0 ckb xr b figure 5-2 block diagram of 2-port ram (2) device operation for writing, assert write enable (xwa) (by pulling it low), and set the address inputs (aa0?aan) and data inputs (d0?dn) before the clock input (cka) goes high. all of the write-enable (xwa), address-input (aa0?aan), and data-input (d0?dn) signals are latched into the rising edge of the clock input (cka), at which time memory is activated for write operation. for reading, assert read enable (xrb) (by pulling it low), and set the address inputs (ab0?abn) before the clock input (ckb) goes high. all of the read-enable (xrb) and address-input (ab0?abn) signals are latched into the rising edge of the clock input (ckb), at which time memory is activated for read operation. during this period, data is output from the data-output pins (y0?yn) a finite access time after the rise of the clock (ckb). table 5-12 truth table of 2-port ram operation truth table for por t 1 (write-only) cka xwa operation mode l h h standby l h l write truth table for port 2 (read-only) ckb xrb output state operation mode l h h data hold standby l h l read data read row decoder row decoder
chapter 5 memory blocks 96 epson standard cell s1k70000 series embedded array S1X70000 series 5.1.5 timing charts (1) 1-port ram ? during reading read data out old data valid data ck a0 an xc s stable xwe stable t css t wes t rcy t csh t weh t acs t as t ah t oh t ckh t ckl standby stable ? during writing write t wcy t ckh data out old data valid data ck a0 an xc s xwe t css t csh t wes t weh t as t ah t wdt t wdh t ds t dh t ckl stable stable data in standby stable stable
chapter 5 memory blocks standard cell s1k70000 series epson 97 embedded array S1X70000 series (2) 2-port ram ? port 1 t wcy cka xwa stable t was t wah aa0 aan t as t ah data in t ds t dh t ckh t ckl write standby stable stable ? port 2 read t rcy t as t ah ab0 abn xrb stable t rbs t rbh data out old data valid data t acc t oh ckb t ckh t ckl standby stable
chapter 5 memory blocks 98 epson standard cell s1k70000 series embedded array S1X70000 series 5.1.6 delay parameters 5.1.6.1 delay parameters (1) delay parameters for standard-1 type 1) 1.8-v specification (v dd = 1.8 v 0.15 v, t a = -40c to +85c) 64-word table 5-13 1-port ram and 2-port ram read- cycle ac characteristics table l1j04008/l1k04008 l1j04010/l1k04010 l1j04018/l1k04018 l1j04020/l1k04020 parameter symbol min. max. min. max. min. max. min. max. unit access time t acs , t acc ? 4.788 ? 4.876 ? 4.974 ? 5.090 read-cycle time t rcy 4.788 ? 4.876 ? 4.974 ? 5.090 ? clock high pulse width t ckh 0.500 ? 0.500 ? 0.500 ? 0.500 ? clock low pulse width t ckl 0.500 ? 0.500 ? 0.500 ? 0.500 ? xcs setup time t css 1.200 ? 1.200 ? 1.200 ? 1.200 ? xcs hold time t csh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xwe setup time t wes 1.200 ? 1.200 ? 1.200 ? 1.200 ? xwe hold time t weh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xrb setup time t rbs 1.200 ? 1.200 ? 1.200 ? 1.200 ? xrb hold time t rbh 0.000 ? 0.000 ? 0.000 ? 0.000 ? address setup time t as 1.200 ? 1.200 ? 1.200 ? 1.200 ? address hold time t ah 0.000 ? 0.000 ? 0.000 ? 0.000 ? output hold time t oh 0.681 ? 0.695 ? 0.709 ? 0.721 ? ns table 5-14 1-port ram and 2-port ram write- cycle ac characteristics table l1j04008/l1k04008 l1j04010/l1k04010 l1j04018/l1k04018 l1j04020/l1k04020 parameter symbol min. max. min. max. min. max. min. max. unit write-cycle time t wcy 4.145 ? 4.258 ? 4.404 ? 4.548 ? clock high pulse width t ckh 0.500 ? 0.500 ? 0.500 ? 0.500 ? clock low pulse width t ckl 0.500 ? 0.500 ? 0.500 ? 0.500 ? xcs setup time t css 1.200 ? 1.200 ? 1.200 ? 1.200 ? xcs hold time t csh 0.000 ? 0.000 ? 0.000 ? 0.000 ? address setup time t as 1.200 ? 1.200 ? 1.200 ? 1.200 ? xwe setup time t wes 1.200 ? 1.200 ? 1.200 ? 1.200 ? xwe hold time t weh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xwa setup time t was 1.200 ? 1.200 ? 1.200 ? 1.200 ? xwa hold time t wah 0.000 ? 0.000 ? 0.000 ? 0.000 ? address hold time t ah 0.000 ? 0.000 ? 0.000 ? 0.000 ? data hold time t dh 0.000 ? 0.000 ? 0.000 ? 0.000 ? data setup time t ds 1.200 ? 1.200 ? 1.200 ? 1.200 ? write-data hold time t wdh 1.330 ? 1.380 ? 1.428 ? 1.471 ? write-data through time t wdt ? 4.145 ? 4.258 ? 4.404 ? 4.548 ns
chapter 5 memory blocks standard cell s1k70000 series epson 99 embedded array S1X70000 series 2) 1.8-v specification (v dd = 1.8 v 0.15 v, t a = -40c to +85c) 128-word table 5-15 1-port ram and 2-port ram read- cycle ac characteristics table l1j08008/l1k08008 l1j08010/l1k08010 l1j08018/l1k08018 l1j08020/l1k08020 parameter symbol min. max. min. max. min. max. min. max. unit access time t acs , t acc ? 6.240 ? 6.336 ? 6.421 ? 6.497 read-cycle time t rcy 6.240 ? 6.336 ? 6.421 ? 6.497 ? clock high pulse width t ckh 0.500 ? 0.500 ? 0.500 ? 0.500 ? clock low pulse width t ckl 0.500 ? 0.500 ? 0.500 ? 0.500 ? xcs setup time t css 1.200 ? 1.200 ? 1.200 ? 1.200 ? xcs hold time t csh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xwe setup time t wes 1.200 ? 1.200 ? 1.200 ? 1.200 ? xwe hold time t weh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xrb setup time t rbs 1.200 ? 1.200 ? 1.200 ? 1.200 ? xrb hold time t rbh 0.000 ? 0.000 ? 0.000 ? 0.000 ? address setup time t as 1.200 ? 1.200 ? 1.200 ? 1.200 ? address hold time t ah 0.000 ? 0.000 ? 0.000 ? 0.000 ? output hold time t oh 0.685 ? 0.700 ? 0.715 ? 0.727 ? ns table 5-16 1-port ram and 2-port ram write- cycle ac characteristics table l1j08008/l1k08008 l1j08010/l1k08010 l1j08018/l1k08018 l1j08020/l1k08020 parameter symbol min. max. min. max. min. max. min. max. unit write-cycle time t wcy 4.191 ? 4.314 ? 4.450 ? 4.592 ? clock high pulse width t ckh 0.500 ? 0.500 ? 0.500 ? 0.500 ? clock low pulse width t ckl 0.500 ? 0.500 ? 0.500 ? 0.500 ? xcs setup time t css 1.200 ? 1.200 ? 1.200 ? 1.200 ? xcs hold time t csh 0.000 ? 0.000 ? 0.000 ? 0.000 ? address setup time t as 1.200 ? 1.200 ? 1.200 ? 1.200 ? xwe setup time t wes 1.200 ? 1.200 ? 1.200 ? 1.200 ? xwe hold time t weh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xwa setup time t was 1.200 ? 1.200 ? 1.200 ? 1.200 ? xwa hold time t wah 0.000 ? 0.000 ? 0.000 ? 0.000 ? address hold time t ah 0.000 ? 0.000 ? 0.000 ? 0.000 ? data hold time t dh 0.000 ? 0.000 ? 0.000 ? 0.000 ? data setup time t ds 1.200 ? 1.200 ? 1.200 ? 1.200 ? write-data hold time t wdh 1.377 ? 1.424 ? 1.471 ? 1.517 ? write-data through time t wdt ? 4.191 ? 4.314 ? 4.450 ? 4.592 ns
chapter 5 memory blocks 100 epson standard cell s1k70000 series embedded array S1X70000 series 3) 1.8-v specification (v dd = 1.8 v 0.15 v, t a = -40c to +85c) 192-word table 5-17 1-port ram and 2-port ram read- cycle ac characteristics table l1j0c008/l1k0c008 l1j0c010/l1k0c010 l1j0c018/l1k0c018 l1j0c020/l1k0c020 parameter symbol min. max. min. max. min. max. min. max. unit access time t acs , t acc ? 7.627 ? 7.711 ? 7.797 ? 7.892 read-cycle time t rcy 7.627 ? 7.711 ? 7.797 ? 7.892 ? clock high pulse width t ckh 0.500 ? 0.500 ? 0.500 ? 0.500 ? clock low pulse width t ckl 0.500 ? 0.500 ? 0.500 ? 0.500 ? xcs setup time t css 1.200 ? 1.200 ? 1.200 ? 1.200 ? xcs hold time t csh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xwe setup time t wes 1.200 ? 1.200 ? 1.200 ? 1.200 ? xwe hold time t weh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xrb setup time t rbs 1.200 ? 1.200 ? 1.200 ? 1.200 ? xrb hold time t rbh 0.000 ? 0.000 ? 0.000 ? 0.000 ? address setup time t as 1.200 ? 1.200 ? 1.200 ? 1.200 ? address hold time t ah 0.000 ? 0.000 ? 0.000 ? 0.000 ? output hold time t oh 0.697 ? 0.709 ? 0.725 ? 0.737 ? ns table 5-18 1-port ram and 2-port ram write- cycle ac characteristics table l1j0c008/l1k0c008 l1j0c010/l1k0c010 l1j0c018/l1k0c018 l1j0c020/l1k0c020 parameter symbol min. max. min. max. min. max. min. max. unit write-cycle time t wcy 4.235 ? 4.371 ? 4.494 ? 4.638 ? clock high pulse width t ckh 0.500 ? 0.500 ? 0.500 ? 0.500 ? clock low pulse width t ckl 0.500 ? 0.500 ? 0.500 ? 0.500 ? xcs setup time t css 1.200 ? 1.200 ? 1.200 ? 1.200 ? xcs hold time t csh 0.000 ? 0.000 ? 0.000 ? 0.000 ? address setup time t as 1.200 ? 1.200 ? 1.200 ? 1.200 ? xwe setup time t wes 1.200 ? 1.200 ? 1.200 ? 1.200 ? xwe hold time t weh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xwa setup time t was 1.200 ? 1.200 ? 1.200 ? 1.200 ? xwa hold time t wah 0.000 ? 0.000 ? 0.000 ? 0.000 ? address hold time t ah 0.000 ? 0.000 ? 0.000 ? 0.000 ? data hold time t dh 0.000 ? 0.000 ? 0.000 ? 0.000 ? data setup time t ds 1.200 ? 1.200 ? 1.200 ? 1.200 ? write-data hold time t wdh 1.405 ? 1.461 ? 1.505 ? 1.551 ? write-data through time t wdt ? 4.235 ? 4.371 ? 4.494 ? 4.638 ns
chapter 5 memory blocks standard cell s1k70000 series epson 101 embedded array S1X70000 series 4) 1.8-v specification (v dd = 1.8 v 0.15 v, t a = -40c to +85c) 256-word table 5-19 1-port ram and 2-port ram read- cycle ac characteristics table l1j10008/l1k10008 l1j10010/l1k10010 l1j10018/l1k10018 l1j10020/l1k10020 parameter symbol min. max. min. max. min. max. min. max. unit access time t acs , t acc ? 8.862 ? 8.954 ? 9.033 ? 9.130 read-cycle time t rcy 8.862 ? 8.954 ? 9.033 ? 9.130 ? clock high pulse width t ckh 0.500 ? 0.500 ? 0.500 ? 0.500 ? clock low pulse width t ckl 0.500 ? 0.500 ? 0.500 ? 0.500 ? xcs setup time t css 1.200 ? 1.200 ? 1.200 ? 1.200 ? xcs hold time t csh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xwe setup time t wes 1.200 ? 1.200 ? 1.200 ? 1.200 ? xwe hold time t weh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xrb setup time t rbs 1.200 ? 1.200 ? 1.200 ? 1.200 ? xrb hold time t rbh 0.000 ? 0.000 ? 0.000 ? 0.000 ? address setup time t as 1.200 ? 1.200 ? 1.200 ? 1.200 ? address hold time t ah 0.000 ? 0.000 ? 0.000 ? 0.000 ? output hold time t oh 0.699 ? 0.713 ? 0.731 ? 0.742 ? ns table 5-20 1-port ram and 2-port ram write- cycle ac characteristics table l1j10008/l1k10008 l1j10010/l1k10010 l1j10018/l1k10018 l1j10020/l1k10020 parameter symbol min. max. min. max. min. max. min. max. unit write-cycle time t wcy 4.281 ? 4.427 ? 4.540 ? 4.684 ? clock high pulse width t ckh 0.500 ? 0.500 ? 0.500 ? 0.500 ? clock low pulse width t ckl 0.500 ? 0.500 ? 0.500 ? 0.500 ? xcs setup time t css 1.200 ? 1.200 ? 1.200 ? 1.200 ? xcs hold time t csh 0.000 ? 0.000 ? 0.000 ? 0.000 ? address setup time t as 1.200 ? 1.200 ? 1.200 ? 1.200 ? xwe setup time t wes 1.200 ? 1.200 ? 1.200 ? 1.200 ? xwe hold time t weh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xwa setup time t was 1.200 ? 1.200 ? 1.200 ? 1.200 ? xwa hold time t wah 0.000 ? 0.000 ? 0.000 ? 0.000 ? address hold time t ah 0.000 ? 0.000 ? 0.000 ? 0.000 ? data hold time t dh 0.000 ? 0.000 ? 0.000 ? 0.000 ? data setup time t ds 1.200 ? 1.200 ? 1.200 ? 1.200 ? write-data hold time t wdh 1.424 ? 1.485 ? 1.525 ? 1.570 ? write-data through time t wdt ? 4.281 ? 4.427 ? 4.540 ? 4.684 ns
chapter 5 memory blocks 102 epson standard cell s1k70000 series embedded array S1X70000 series 5) 1.8-v specification (v dd = 1.8 v 0.15 v, t a = 0c to +70c) 64-word table 5-21 1-port ram and 2-port ram read- cycle ac characteristics table l1j04008/l1k04008 l1j04010/l1k04010 l1j04018/l1k04018 l1j04020/l1k04020 parameter symbol min. max. min. max. min. max. min. max. unit access time t acs , t acc ? 4.608 ? 4.693 ? 4.788 ? 4.900 read-cycle time t rcy 4.608 ? 4.693 ? 4.788 ? 4.900 ? clock high pulse width t ckh 0.500 ? 0.500 ? 0.500 ? 0.500 ? clock low pulse width t ckl 0.500 ? 0.500 ? 0.500 ? 0.500 ? xcs setup time t css 1.200 ? 1.200 ? 1.200 ? 1.200 ? xcs hold time t csh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xwe setup time t wes 1.200 ? 1.200 ? 1.200 ? 1.200 ? xwe hold time t weh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xrb setup time t rbs 1.200 ? 1.200 ? 1.200 ? 1.200 ? xrb hold time t rbh 0.000 ? 0.000 ? 0.000 ? 0.000 ? address setup time t as 1.200 ? 1.200 ? 1.200 ? 1.200 ? address hold time t ah 0.000 ? 0.000 ? 0.000 ? 0.000 ? output hold time t oh 0.711 ? 0.725 ? 0.740 ? 0.752 ? ns table 5-22 1-port ram and 2-port ram write- cycle ac characteristics table l1j04008/l1k04008 l1j04010/l1k04010 l1j04018/l1k04018 l1j04020/l1k04020 parameter symbol min. max. min. max. min. max. min. max. unit write-cycle time t wcy 3.990 ? 4.098 ? 4.239 ? 4.378 ? clock high pulse width t ckh 0.500 ? 0.500 ? 0.500 ? 0.500 ? clock low pulse width t ckl 0.500 ? 0.500 ? 0.500 ? 0.500 ? xcs setup time t css 1.200 ? 1.200 ? 1.200 ? 1.200 ? xcs hold time t csh 0.000 ? 0.000 ? 0.000 ? 0.000 ? address setup time t as 1.200 ? 1.200 ? 1.200 ? 1.200 ? xwe setup time t wes 1.200 ? 1.200 ? 1.200 ? 1.200 ? xwe hold time t weh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xwa setup time t was 1.200 ? 1.200 ? 1.200 ? 1.200 ? xwa hold time t wah 0.000 ? 0.000 ? 0.000 ? 0.000 ? address hold time t ah 0.000 ? 0.000 ? 0.000 ? 0.000 ? data hold time t dh 0.000 ? 0.000 ? 0.000 ? 0.000 ? data setup time t ds 1.200 ? 1.200 ? 1.200 ? 1.200 ? write-data hold time t wdh 1.388 ? 1.440 ? 1.491 ? 1.535 ? write-data through time t wdt ? 3.990 ? 4.098 ? 4.239 ? 4.378 ns
chapter 5 memory blocks standard cell s1k70000 series epson 103 embedded array S1X70000 series 6) 1.8-v specification (v dd = 1.8 v 0.15 v, t a = 0c to +70c) 128-word table 5-23 1-port ram and 2-port ram read- cycle ac characteristics table l1j08008/l1k08008 l1j08010/l1k08010 l1j08018/l1k08018 l1j08020/l1k08020 parameter symbol min. max. min. max. min. max. min. max. unit access time t acs , t acc ? 6.006 ? 6.099 ? 6.180 ? 6.253 read-cycle time t rcy 6.006 ? 6.099 ? 6.180 ? 6.253 ? clock high pulse width t ckh 0.500 ? 0.500 ? 0.500 ? 0.500 ? clock low pulse width t ckl 0.500 ? 0.500 ? 0.500 ? 0.500 ? xcs setup time t css 1.200 ? 1.200 ? 1.200 ? 1.200 ? xcs hold time t csh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xwe setup time t wes 1.200 ? 1.200 ? 1.200 ? 1.200 ? xwe hold time t weh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xrb setup time t rbs 1.200 ? 1.200 ? 1.200 ? 1.200 ? xrb hold time t rbh 0.000 ? 0.000 ? 0.000 ? 0.000 ? address setup time t as 1.200 ? 1.200 ? 1.200 ? 1.200 ? address hold time t ah 0.000 ? 0.000 ? 0.000 ? 0.000 ? output hold time t oh 0.715 ? 0.730 ? 0.746 ? 0.759 ? ns table 5-24 1-port ram and 2-port ram write- cycle ac characteristics table l1j08008/l1k08008 l1j08010/l1k08010 l1j08018/l1k08018 l1j08020/l1k08020 parameter symbol min. max. min. max. min. max. min. max. unit write-cycle time t wcy 4.034 ? 4.152 ? 4.283 ? 4.420 ? clock high pulse width t ckh 0.500 ? 0.500 ? 0.500 ? 0.500 ? clock low pulse width t ckl 0.500 ? 0.500 ? 0.500 ? 0.500 ? xcs setup time t css 1.200 ? 1.200 ? 1.200 ? 1.200 ? xcs hold time t csh 0.000 ? 0.000 ? 0.000 ? 0.000 ? address setup time t as 1.200 ? 1.200 ? 1.200 ? 1.200 ? xwe setup time t wes 1.200 ? 1.200 ? 1.200 ? 1.200 ? xwe hold time t weh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xwa setup time t was 1.200 ? 1.200 ? 1.200 ? 1.200 ? xwa hold time t wah 0.000 ? 0.000 ? 0.000 ? 0.000 ? address hold time t ah 0.000 ? 0.000 ? 0.000 ? 0.000 ? data hold time t dh 0.000 ? 0.000 ? 0.000 ? 0.000 ? data setup time t ds 1.200 ? 1.200 ? 1.200 ? 1.200 ? write-data hold time t wdh 1.437 ? 1.486 ? 1.535 ? 1.583 ? write-data through time t wdt ? 4.034 ? 4.152 ? 4.283 ? 4.420 ns
chapter 5 memory blocks 104 epson standard cell s1k70000 series embedded array S1X70000 series 7) 1.8-v specification (v dd = 1.8 v 0.15 v, t a = 0c to +70c) 192-word table 5-25 1-port ram and 2-port ram read- cycle ac characteristics table l1j0c008/l1k0c008 l1j0c010/l1k0c010 l1j0c018/l1k0c018 l1j0c020/l1k0c020 parameter symbol min. max. min. max. min. max. min. max. unit access time t acs , t acc ? 7.341 ? 7.422 ? 7.505 ? 7.596 read-cycle time t rcy 7.341 ? 7.422 ? 7.505 ? 7.596 ? clock high pulse width t ckh 0.500 ? 0.500 ? 0.500 ? 0.500 ? clock low pulse width t ckl 0.500 ? 0.500 ? 0.500 ? 0.500 ? xcs setup time t css 1.200 ? 1.200 ? 1.200 ? 1.200 ? xcs hold time t csh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xwe setup time t wes 1.200 ? 1.200 ? 1.200 ? 1.200 ? xwe hold time t weh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xrb setup time t rbs 1.200 ? 1.200 ? 1.200 ? 1.200 ? xrb hold time t rbh 0.000 ? 0.000 ? 0.000 ? 0.000 ? address setup time t as 1.200 ? 1.200 ? 1.200 ? 1.200 ? address hold time t ah 0.000 ? 0.000 ? 0.000 ? 0.000 ? output hold time t oh 0.727 ? 0.740 ? 0.757 ? 0.769 ? ns table 5-26 1-port ram and 2-port ram write- cycle ac characteristics table l1j0c008/l1k0c008 l1j0c010/l1k0c010 l1j0c018/l1k0c018 l1j0c020/l1k0c020 parameter symbol min. max. min. max. min. max. min. max. unit write-cycle time t wcy 4.076 ? 4.207 ? 4.325 ? 4.464 ? clock high pulse width t ckh 0.500 ? 0.500 ? 0.500 ? 0.500 ? clock low pulse width t ckl 0.500 ? 0.500 ? 0.500 ? 0.500 ? xcs setup time t css 1.200 ? 1.200 ? 1.200 ? 1.200 ? xcs hold time t csh 0.000 ? 0.000 ? 0.000 ? 0.000 ? address setup time t as 1.200 ? 1.200 ? 1.200 ? 1.200 ? xwe setup time t wes 1.200 ? 1.200 ? 1.200 ? 1.200 ? xwe hold time t weh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xwa setup time t was 1.200 ? 1.200 ? 1.200 ? 1.200 ? xwa hold time t wah 0.000 ? 0.000 ? 0.000 ? 0.000 ? address hold time t ah 0.000 ? 0.000 ? 0.000 ? 0.000 ? data hold time t dh 0.000 ? 0.000 ? 0.000 ? 0.000 ? data setup time t ds 1.200 ? 1.200 ? 1.200 ? 1.200 ? write-data hold time t wdh 1.466 ? 1.524 ? 1.571 ? 1.619 ? write-data through time t wdt ? 4.076 ? 4.207 ? 4.325 ? 4.464 ns
chapter 5 memory blocks standard cell s1k70000 series epson 105 embedded array S1X70000 series 8) 1.8-v specification (v dd = 1.8 v 0.15 v, t a = 0c to +70c) 256-word table 5-27 1-port ram and 2-port ram read- cycle ac characteristics table l1j10008/l1k10008 l1j10010/l1k10010 l1j10018/l1k10018 l1j10020/l1k10020 parameter symbol min. max. min. max. min. max. min. max. unit access time t acs , t acc ? 8.530 ? 8.618 ? 8.694 ? 8.787 read-cycle time t rcy 8.530 ? 8.618 ? 8.694 ? 8.787 ? clock high pulse width t ckh 0.500 ? 0.500 ? 0.500 ? 0.500 ? clock low pulse width t ckl 0.500 ? 0.500 ? 0.500 ? 0.500 ? xcs setup time t css 1.200 ? 1.200 ? 1.200 ? 1.200 ? xcs hold time t csh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xwe setup time t wes 1.200 ? 1.200 ? 1.200 ? 1.200 ? xwe hold time t weh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xrb setup time t rbs 1.200 ? 1.200 ? 1.200 ? 1.200 ? xrb hold time t rbh 0.000 ? 0.000 ? 0.000 ? 0.000 ? address setup time t as 1.200 ? 1.200 ? 1.200 ? 1.200 ? address hold time t ah 0.000 ? 0.000 ? 0.000 ? 0.000 ? output hold time t oh 0.730 ? 0.744 ? 0.763 ? 0.774 ? ns table 5-28 1-port ram and 2-port ram write- cycle ac characteristics table l1j10008/l1k10008 l1j10010/l1k10010 l1j10018/l1k10018 l1j10020/l1k10020 parameter symbol min. max. min. max. min. max. min. max. unit write-cycle time t wcy 4.120 ? 4.261 ? 4.369 ? 4.508 ? clock high pulse width t ckh 0.500 ? 0.500 ? 0.500 ? 0.500 ? clock low pulse width t ckl 0.500 ? 0.500 ? 0.500 ? 0.500 ? xcs setup time t css 1.200 ? 1.200 ? 1.200 ? 1.200 ? xcs hold time t csh 0.000 ? 0.000 ? 0.000 ? 0.000 ? address setup time t as 1.200 ? 1.200 ? 1.200 ? 1.200 ? xwe setup time t wes 1.200 ? 1.200 ? 1.200 ? 1.200 ? xwe hold time t weh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xwa setup time t was 1.200 ? 1.200 ? 1.200 ? 1.200 ? xwa hold time t wah 0.000 ? 0.000 ? 0.000 ? 0.000 ? address hold time t ah 0.000 ? 0.000 ? 0.000 ? 0.000 ? data hold time t dh 0.000 ? 0.000 ? 0.000 ? 0.000 ? data setup time t ds 1.200 ? 1.200 ? 1.200 ? 1.200 ? write-data hold time t wdh 1.486 ? 1.550 ? 1.592 ? 1.638 ? write-data through time t wdt ? 4.120 ? 4.261 ? 4.369 ? 4.508 ns
chapter 5 memory blocks 106 epson standard cell s1k70000 series embedded array S1X70000 series 9) 1.5-v specification (v dd = 1.5 v 0.1 v, t a = -40c to +85c) 64-word table 5-29 1-port ram and 2-port ram read- cycle ac characteristics table l1j04008/l1k04008 l1j04010/l1k04010 l1j04018/l1k04018 l1j04020/l1k04020 parameter symbol min. max. min. max. min. max. min. max. unit access time t acs , t acc ? 7.639 ? 7.757 ? 7.899 ? 8.058 read-cycle time t rcy 7.639 ? 7.757 ? 7.899 ? 8.058 ? clock high pulse width t ckh 0.500 ? 0.500 ? 0.500 ? 0.500 ? clock low pulse width t ckl 0.500 ? 0.500 ? 0.500 ? 0.500 ? xcs setup time t css 1.700 ? 1.700 ? 1.700 ? 1.700 ? xcs hold time t csh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xwe setup time t wes 1.700 ? 1.700 ? 1.700 ? 1.700 ? xwe hold time t weh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xrb setup time t rbs 1.700 ? 1.700 ? 1.700 ? 1.700 ? xrb hold time t rbh 0.000 ? 0.000 ? 0.000 ? 0.000 ? address setup time t as 1.700 ? 1.700 ? 1.700 ? 1.700 ? address hold time t ah 0.000 ? 0.000 ? 0.000 ? 0.000 ? output hold time t oh 0.944 ? 0.961 ? 0.990 ? 1.002 ? ns table 5-30 1-port ram and 2-port ram write- cycle ac characteristics table l1j04008/l1k04008 l1j04010/l1k04010 l1j04018/l1k04018 l1j04020/l1k04020 parameter symbol min. max. min. max. min. max. min. max. unit write-cycle time t wcy 6.153 ? 6.363 ? 6.560 ? 6.743 ? clock high pulse width t ckh 0.500 ? 0.500 ? 0.500 ? 0.500 ? clock low pulse width t ckl 0.500 ? 0.500 ? 0.500 ? 0.500 ? xcs setup time t css 1.700 ? 1.700 ? 1.700 ? 1.700 ? xcs hold time t csh 0.000 ? 0.000 ? 0.000 ? 0.000 ? address setup time t as 1.700 ? 1.700 ? 1.700 ? 1.700 ? xwe setup time t wes 1.700 ? 1.700 ? 1.700 ? 1.700 ? xwe hold time t weh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xwa setup time t was 1.700 ? 1.700 ? 1.700 ? 1.700 ? xwa hold time t wah 0.000 ? 0.000 ? 0.000 ? 0.000 ? address hold time t ah 0.000 ? 0.000 ? 0.000 ? 0.000 ? data hold time t dh 0.000 ? 0.000 ? 0.000 ? 0.000 ? data setup time t ds 1.700 ? 1.700 ? 1.700 ? 1.700 ? write-data hold time t wdh 1.833 ? 1.900 ? 1.945 ? 2.001 ? write-data through time t wdt ? 6.153 ? 6.363 ? 6.560 ? 6.743 ns
chapter 5 memory blocks standard cell s1k70000 series epson 107 embedded array S1X70000 series 10) 1.5-v specification (v dd = 1.5 v 0.1 v, t a = -40c to +85c) 128-word table 5-31 1-port ram and 2-port ram read- cycle ac characteristics table l1j08008/l1k08008 l1j08010/l1k08010 l1j08018/l1k08018 l1j08020/l1k08020 parameter symbol min. max. min. max. min. max. min. max. unit access time t acs , t acc ? 10.349 ? 10.467 ? 10.603 ? 10.722 read-cycle time t rcy 10.349 ? 10.467 ? 10.603 ? 10.722 ? clock high pulse width t ckh 0.500 ? 0.500 ? 0.500 ? 0.500 ? clock low pulse width t ckl 0.500 ? 0.500 ? 0.500 ? 0.500 ? xcs setup time t css 1.700 ? 1.700 ? 1.700 ? 1.700 ? xcs hold time t csh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xwe setup time t wes 1.700 ? 1.700 ? 1.700 ? 1.700 ? xwe hold time t weh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xrb setup time t rbs 1.700 ? 1.700 ? 1.700 ? 1.700 ? xrb hold time t rbh 0.000 ? 0.000 ? 0.000 ? 0.000 ? address setup time t as 1.700 ? 1.700 ? 1.700 ? 1.700 ? address hold time t ah 0.000 ? 0.000 ? 0.000 ? 0.000 ? output hold time t oh 0.959 ? 0.977 ? 1.005 ? 1.014 ? ns table 5-32 1-port ram and 2-port ram write- cycle ac characteristics table l1j08008/l1k08008 l1j08010/l1k08010 l1j08018/l1k08018 l1j08020/l1k08020 parameter symbol min. max. min. max. min. max. min. max. unit write-cycle time t wcy 6.271 ? 6.486 ? 6.687 ? 6.868 ? clock high pulse width t ckh 0.500 ? 0.500 ? 0.500 ? 0.500 ? clock low pulse width t ckl 0.500 ? 0.500 ? 0.500 ? 0.500 ? xcs setup time t css 1.700 ? 1.700 ? 1.700 ? 1.700 ? xcs hold time t csh 0.000 ? 0.000 ? 0.000 ? 0.000 ? address setup time t as 1.700 ? 1.700 ? 1.700 ? 1.700 ? xwe setup time t wes 1.700 ? 1.700 ? 1.700 ? 1.700 ? xwe hold time t weh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xwa setup time t was 1.700 ? 1.700 ? 1.700 ? 1.700 ? xwa hold time t wah 0.000 ? 0.000 ? 0.000 ? 0.000 ? address hold time t ah 0.000 ? 0.000 ? 0.000 ? 0.000 ? data hold time t dh 0.000 ? 0.000 ? 0.000 ? 0.000 ? data setup time t ds 1.700 ? 1.700 ? 1.700 ? 1.700 ? write-data hold time t wdh 1.891 ? 1.954 ? 2.003 ? 2.102 ? write-data through time t wdt ? 6.271 ? 6.486 ? 6.687 ? 6.868 ns
chapter 5 memory blocks 108 epson standard cell s1k70000 series embedded array S1X70000 series 11) 1.5-v specification (v dd = 1.5 v 0.1 v, t a = -40c to +85c) 192-word table 5-33 1-port ram and 2-port ram read- cycle ac characteristics table l1j0c008/l1k0c008 l1j0c010/l1k0c010 l1j0c018/l1k0c018 l1j0c020/l1k0c020 parameter symbol min. max. min. max. min. max. min. max. unit access time t acs , t acc ? 12.822 ? 12.949 ? 13.063 ? 13.186 read-cycle time t rcy 12.822 ? 12.949 ? 13.063 ? 13.186 ? clock high pulse width t ckh 0.500 ? 0.500 ? 0.500 ? 0.500 ? clock low pulse width t ckl 0.500 ? 0.500 ? 0.500 ? 0.500 ? xcs setup time t css 1.700 ? 1.700 ? 1.700 ? 1.700 ? xcs hold time t csh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xwe setup time t wes 1.700 ? 1.700 ? 1.700 ? 1.700 ? xwe hold time t weh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xrb setup time t rbs 1.700 ? 1.700 ? 1.700 ? 1.700 ? xrb hold time t rbh 0.000 ? 0.000 ? 0.000 ? 0.000 ? address setup time t as 1.700 ? 1.700 ? 1.700 ? 1.700 ? address hold time t ah 0.000 ? 0.000 ? 0.000 ? 0.000 ? output hold time t oh 0.975 ? 0.992 ? 1.021 ? 1.025 ? ns table 5-34 1-port ram and 2-port ram write- cycle ac characteristics table l1j0c008/l1k0c008 l1j0c010/l1k0c010 l1j0c018/l1k0c018 l1j0c020/l1k0c020 parameter symbol min. max. min. max. min. max. min. max. unit write-cycle time t wcy 6.365 ? 6.581 ? 6.769 ? 6.952 ? clock high pulse width t ckh 0.500 ? 0.500 ? 0.500 ? 0.500 ? clock low pulse width t ckl 0.500 ? 0.500 ? 0.500 ? 0.500 ? xcs setup time t css 1.700 ? 1.700 ? 1.700 ? 1.700 ? xcs hold time t csh 0.000 ? 0.000 ? 0.000 ? 0.000 ? address setup time t as 1.700 ? 1.700 ? 1.700 ? 1.700 ? xwe setup time t wes 1.700 ? 1.700 ? 1.700 ? 1.700 ? xwe hold time t weh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xwa setup time t was 1.700 ? 1.700 ? 1.700 ? 1.700 ? xwa hold time t wah 0.000 ? 0.000 ? 0.000 ? 0.000 ? address hold time t ah 0.000 ? 0.000 ? 0.000 ? 0.000 ? data hold time t dh 0.000 ? 0.000 ? 0.000 ? 0.000 ? data setup time t ds 1.700 ? 1.700 ? 1.700 ? 1.700 ? write-data hold time t wdh 1.948 ? 2.008 ? 2.061 ? 2.202 ? write-data through time t wdt ? 6.365 ? 6.581 ? 6.769 ? 6.952 ns
chapter 5 memory blocks standard cell s1k70000 series epson 109 embedded array S1X70000 series 12) 1.5-v specification (v dd = 1.5 v 0.1 v, t a = -40c to +85c) 256-word table 5-35 1-port ram and 2-port ram read- cycle ac characteristics table l1j10008/l1k10008 l1j10010/l1k10010 l1j10018/l1k10018 l1j10020/l1k10020 parameter symbol min. max. min. max. min. max. min. max. unit access time t acs , t acc ? 15.001 ? 15.103 ? 15.230 ? 15.353 read-cycle time t rcy 15.001 ? 15.103 ? 15.230 ? 15.353 ? clock high pulse width t ckh 0.500 ? 0.500 ? 0.500 ? 0.500 ? clock low pulse width t ckl 0.500 ? 0.500 ? 0.500 ? 0.500 ? xcs setup time t css 1.700 ? 1.700 ? 1.700 ? 1.700 ? xcs hold time t csh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xwe setup time t wes 1.700 ? 1.700 ? 1.700 ? 1.700 ? xwe hold time t weh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xrb setup time t rbs 1.700 ? 1.700 ? 1.700 ? 1.700 ? xrb hold time t rbh 0.000 ? 0.000 ? 0.000 ? 0.000 ? address setup time t as 1.700 ? 1.700 ? 1.700 ? 1.700 ? address hold time t ah 0.000 ? 0.000 ? 0.000 ? 0.000 ? output hold time t oh 0.990 ? 1.008 ? 1.036 ? 1.037 ? ns table 5-36 1-port ram and 2-port ram write- cycle ac characteristics table l1j10008/l1k10008 l1j10010/l1k10010 l1j10018/l1k10018 l1j10020/l1k10020 parameter symbol min. max. min. max. min. max. min. max. unit write-cycle time t wcy 6.432 ? 6.632 ? 6.826 ? 7.011 ? clock high pulse width t ckh 0.500 ? 0.500 ? 0.500 ? 0.500 ? clock low pulse width t ckl 0.500 ? 0.500 ? 0.500 ? 0.500 ? xcs setup time t css 1.700 ? 1.700 ? 1.700 ? 1.700 ? xcs hold time t csh 0.000 ? 0.000 ? 0.000 ? 0.000 ? address setup time t as 1.700 ? 1.700 ? 1.700 ? 1.700 ? xwe setup time t wes 1.700 ? 1.700 ? 1.700 ? 1.700 ? xwe hold time t weh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xwa setup time t was 1.700 ? 1.700 ? 1.700 ? 1.700 ? xwa hold time t wah 0.000 ? 0.000 ? 0.000 ? 0.000 ? address hold time t ah 0.000 ? 0.000 ? 0.000 ? 0.000 ? data hold time t dh 0.000 ? 0.000 ? 0.000 ? 0.000 ? data setup time t ds 1.700 ? 1.700 ? 1.700 ? 1.700 ? write-data hold time t wdh 2.006 ? 2.062 ? 2.118 ? 2.302 ? write-data through time t wdt ? 6.432 ? 6.632 ? 6.826 ? 7.011 ns
chapter 5 memory blocks 110 epson standard cell s1k70000 series embedded array S1X70000 series 13) 1.5-v specification (v dd = 1.5 v 0.1 v, t a = 0c to +70c) 64-word table 5-37 1-port ram and 2-port ram read- cycle ac characteristics table l1j04008/l1k04008 l1j04010/l1k04010 l1j04018/l1k04018 l1j04020/l1k04020 parameter symbol min. max. min. max. min. max. min. max. unit access time t acs , t acc ? 7.448 ? 7.563 ? 7.702 ? 7.856 read-cycle time t rcy 7.448 ? 7.563 ? 7.702 ? 7.856 ? clock high pulse width t ckh 0.500 ? 0.500 ? 0.500 ? 0.500 ? clock low pulse width t ckl 0.500 ? 0.500 ? 0.500 ? 0.500 ? xcs setup time t css 1.700 ? 1.700 ? 1.700 ? 1.700 ? xcs hold time t csh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xwe setup time t wes 1.700 ? 1.700 ? 1.700 ? 1.700 ? xwe hold time t weh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xrb setup time t rbs 1.700 ? 1.700 ? 1.700 ? 1.700 ? xrb hold time t rbh 0.000 ? 0.000 ? 0.000 ? 0.000 ? address setup time t as 1.700 ? 1.700 ? 1.700 ? 1.700 ? address hold time t ah 0.000 ? 0.000 ? 0.000 ? 0.000 ? output hold time t oh 0.986 ? 1.004 ? 1.035 ? 1.047 ? ns table 5-38 1-port ram and 2-port ram write- cycle ac characteristics table l1j04008/l1k04008 l1j04010/l1k04010 l1j04018/l1k04018 l1j04020/l1k04020 parameter symbol min. max. min. max. min. max. min. max. unit write-cycle time t wcy 6.000 ? 6.204 ? 6.396 ? 6.574 ? clock high pulse width t ckh 0.500 ? 0.500 ? 0.500 ? 0.500 ? clock low pulse width t ckl 0.500 ? 0.500 ? 0.500 ? 0.500 ? xcs setup time t css 1.700 ? 1.700 ? 1.700 ? 1.700 ? xcs hold time t csh 0.000 ? 0.000 ? 0.000 ? 0.000 ? address setup time t as 1.700 ? 1.700 ? 1.700 ? 1.700 ? xwe setup time t wes 1.700 ? 1.700 ? 1.700 ? 1.700 ? xwe hold time t weh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xwa setup time t was 1.700 ? 1.700 ? 1.700 ? 1.700 ? xwa hold time t wah 0.000 ? 0.000 ? 0.000 ? 0.000 ? address hold time t ah 0.000 ? 0.000 ? 0.000 ? 0.000 ? data hold time t dh 0.000 ? 0.000 ? 0.000 ? 0.000 ? data setup time t ds 1.700 ? 1.700 ? 1.700 ? 1.700 ? write-data hold time t wdh 1.915 ? 1.985 ? 2.032 ? 2.091 ? write-data through time t wdt ? 6.000 ? 6.204 ? 6.396 ? 6.574 ns
chapter 5 memory blocks standard cell s1k70000 series epson 111 embedded array S1X70000 series 14) 1.5-v specification (v dd = 1.5 v 0.1 v, t a = 0c to +70c) 128-word table 5-39 1-port ram and 2-port ram read- cycle ac characteristics table l1j08008/l1k08008 l1j08010/l1k08010 l1j08018/l1k08018 l1j08020/l1k08020 parameter symbol min. max. min. max. min. max. min. max. unit access time t acs , t acc ? 10.091 ? 10.206 ? 10.338 ? 10.454 read-cycle time t rcy 10.091 ? 10.206 ? 10.338 ? 10.454 ? clock high pulse width t ckh 0.500 ? 0.500 ? 0.500 ? 0.500 ? clock low pulse width t ckl 0.500 ? 0.500 ? 0.500 ? 0.500 ? xcs setup time t css 1.700 ? 1.700 ? 1.700 ? 1.700 ? xcs hold time t csh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xwe setup time t wes 1.700 ? 1.700 ? 1.700 ? 1.700 ? xwe hold time t weh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xrb setup time t rbs 1.700 ? 1.700 ? 1.700 ? 1.700 ? xrb hold time t rbh 0.000 ? 0.000 ? 0.000 ? 0.000 ? address setup time t as 1.700 ? 1.700 ? 1.700 ? 1.700 ? address hold time t ah 0.000 ? 0.000 ? 0.000 ? 0.000 ? output hold time t oh 1.002 ? 1.021 ? 1.050 ? 1.059 ? ns table 5-40 1-port ram and 2-port ram write- cycle ac characteristics table l1j08008/l1k08008 l1j08010/l1k08010 l1j08018/l1k08018 l1j08020/l1k08020 parameter symbol min. max. min. max. min. max. min. max. unit write-cycle time t wcy 6.115 ? 6.324 ? 6.520 ? 6.696 ? clock high pulse width t ckh 0.500 ? 0.500 ? 0.500 ? 0.500 ? clock low pulse width t ckl 0.500 ? 0.500 ? 0.500 ? 0.500 ? xcs setup time t css 1.700 ? 1.700 ? 1.700 ? 1.700 ? xcs hold time t csh 0.000 ? 0.000 ? 0.000 ? 0.000 ? address setup time t as 1.700 ? 1.700 ? 1.700 ? 1.700 ? xwe setup time t wes 1.700 ? 1.700 ? 1.700 ? 1.700 ? xwe hold time t weh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xwa setup time t was 1.700 ? 1.700 ? 1.700 ? 1.700 ? xwa hold time t wah 0.000 ? 0.000 ? 0.000 ? 0.000 ? address hold time t ah 0.000 ? 0.000 ? 0.000 ? 0.000 ? data hold time t dh 0.000 ? 0.000 ? 0.000 ? 0.000 ? data setup time t ds 1.700 ? 1.700 ? 1.700 ? 1.700 ? write-data hold time t wdh 1.975 ? 2.041 ? 2.092 ? 2.196 ? write-data through time t wdt ? 6.115 ? 6.324 ? 6.520 ? 6.696 ns
chapter 5 memory blocks 112 epson standard cell s1k70000 series embedded array S1X70000 series 15) 1.5-v specification (v dd = 1.5 v 0.1 v, t a = 0c to +70c) 192-word table 5-41 1-port ram and 2-port ram read- cycle ac characteristics table l1j0c008/l1k0c008 l1j0c010/l1k0c010 l1j0c018/l1k0c018 l1j0c020/l1k0c020 parameter symbol min. max. min. max. min. max. min. max. unit access time t acs , t acc ? 12.502 ? 12.625 ? 12.737 ? 12.857 read-cycle time t rcy 12.502 ? 12.625 ? 12.737 ? 12.857 ? clock high pulse width t ckh 0.500 ? 0.500 ? 0.500 ? 0.500 ? clock low pulse width t ckl 0.500 ? 0.500 ? 0.500 ? 0.500 ? xcs setup time t css 1.700 ? 1.700 ? 1.700 ? 1.700 ? xcs hold time t csh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xwe setup time t wes 1.700 ? 1.700 ? 1.700 ? 1.700 ? xwe hold time t weh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xrb setup time t rbs 1.700 ? 1.700 ? 1.700 ? 1.700 ? xrb hold time t rbh 0.000 ? 0.000 ? 0.000 ? 0.000 ? address setup time t as 1.700 ? 1.700 ? 1.700 ? 1.700 ? address hold time t ah 0.000 ? 0.000 ? 0.000 ? 0.000 ? output hold time t oh 1.018 ? 1.036 ? 1.067 ? 1.071 ? ns table 5-42 1-port ram and 2-port ram write- cycle ac characteristics table l1j0c008/l1k0c008 l1j0c010/l1k0c010 l1j0c018/l1k0c018 l1j0c020/l1k0c020 parameter symbol min. max. min. max. min. max. min. max. unit write-cycle time t wcy 6.206 ? 6.417 ? 6.600 ? 6.779 ? clock high pulse width t ckh 0.500 ? 0.500 ? 0.500 ? 0.500 ? clock low pulse width t ckl 0.500 ? 0.500 ? 0.500 ? 0.500 ? xcs setup time t css 1.700 ? 1.700 ? 1.700 ? 1.700 ? xcs hold time t csh 0.000 ? 0.000 ? 0.000 ? 0.000 ? address setup time t as 1.700 ? 1.700 ? 1.700 ? 1.700 ? xwe setup time t wes 1.700 ? 1.700 ? 1.700 ? 1.700 ? xwe hold time t weh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xwa setup time t was 1.700 ? 1.700 ? 1.700 ? 1.700 ? xwa hold time t wah 0.000 ? 0.000 ? 0.000 ? 0.000 ? address hold time t ah 0.000 ? 0.000 ? 0.000 ? 0.000 ? data hold time t dh 0.000 ? 0.000 ? 0.000 ? 0.000 ? data setup time t ds 1.700 ? 1.700 ? 1.700 ? 1.700 ? write-data hold time t wdh 2.036 ? 2.098 ? 2.153 ? 2.301 ? write-data through time t wdt ? 6.206 ? 6.417 ? 6.600 ? 6.779 ns
chapter 5 memory blocks standard cell s1k70000 series epson 113 embedded array S1X70000 series 16) 1.5-v specification (v dd = 1.5 v 0.1 v, t a = 0c to +70c) 256-word table 5-43 1-port ram and 2-port ram read- cycle ac characteristics table l1j10008/l1k10008 l1j10010/l1k10010 l1j10018/l1k10018 l1j10020/l1k10020 parameter symbol min. max. min. max. min. max. min. max. unit access time t acs , t acc ? 14.626 ? 14.725 ? 14.849 ? 14.969 read-cycle time t rcy 14.626 ? 14.725 ? 14.849 ? 14.969 ? clock high pulse width t ckh 0.500 ? 0.500 ? 0.500 ? 0.500 ? clock low pulse width t ckl 0.500 ? 0.500 ? 0.500 ? 0.500 ? xcs setup time t css 1.700 ? 1.700 ? 1.700 ? 1.700 ? xcs hold time t csh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xwe setup time t wes 1.700 ? 1.700 ? 1.700 ? 1.700 ? xwe hold time t weh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xrb setup time t rbs 1.700 ? 1.700 ? 1.700 ? 1.700 ? xrb hold time t rbh 0.000 ? 0.000 ? 0.000 ? 0.000 ? address setup time t as 1.700 ? 1.700 ? 1.700 ? 1.700 ? address hold time t ah 0.000 ? 0.000 ? 0.000 ? 0.000 ? output hold time t oh 1.034 ? 1.053 ? 1.082 ? 1.083 ? ns table 5-44 1-port ram and 2-port ram write- cycle ac characteristics table l1j10008/l1k10008 l1j10010/l1k10010 l1j10018/l1k10018 l1j10020/l1k10020 parameter symbol min. max. min. max. min. max. min. max. unit write-cycle time t wcy 6.271 ? 6.466 ? 6.655 ? 6.835 ? clock high pulse width t ckh 0.500 ? 0.500 ? 0.500 ? 0.500 ? clock low pulse width t ckl 0.500 ? 0.500 ? 0.500 ? 0.500 ? xcs setup time t css 1.700 ? 1.700 ? 1.700 ? 1.700 ? xcs hold time t csh 0.000 ? 0.000 ? 0.000 ? 0.000 ? address setup time t as 1.700 ? 1.700 ? 1.700 ? 1.700 ? xwe setup time t wes 1.700 ? 1.700 ? 1.700 ? 1.700 ? xwe hold time t weh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xwa setup time t was 1.700 ? 1.700 ? 1.700 ? 1.700 ? xwa hold time t wah 0.000 ? 0.000 ? 0.000 ? 0.000 ? address hold time t ah 0.000 ? 0.000 ? 0.000 ? 0.000 ? data hold time t dh 0.000 ? 0.000 ? 0.000 ? 0.000 ? data setup time t ds 1.700 ? 1.700 ? 1.700 ? 1.700 ? write-data hold time t wdh 2.096 ? 2.154 ? 2.213 ? 2.405 ? write-data through time t wdt ? 6.271 ? 6.466 ? 6.655 ? 6.835 ns
chapter 5 memory blocks 114 epson standard cell s1k70000 series embedded array S1X70000 series (2) delay parameters for th e high-performance type 1) 1.8-v specification (v dd = 1.8 v 0.15 v, t a = -40c to +85c) 64-word table 5-45 1-port ram and 2-port ram read- cycle ac characteristics table l2j04008/l2k04008 l2j04010/l2k04010 l2j04018/l2k04018 l2j04020/l2k04020 parameter symbol min. max. min. max. min. max. min. max. unit access time t acs , t acc ? 3.086 ? 3.144 ? 3.212 ? 3.283 read-cycle time t rcy 3.086 ? 3.144 ? 3.212 ? 3.283 ? clock high pulse width t ckh 0.500 ? 0.500 ? 0.500 ? 0.500 ? clock low pulse width t ckl 0.500 ? 0.500 ? 0.500 ? 0.500 ? xcs setup time t css 1.000 ? 1.000 ? 1.000 ? 1.000 ? xcs hold time t csh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xwe setup time t wes 1.000 ? 1.000 ? 1.000 ? 1.000 ? xwe hold time t weh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xrb setup time t rbs 1.000 ? 1.000 ? 1.000 ? 1.000 ? xrb hold time t rbh 0.000 ? 0.000 ? 0.000 ? 0.000 ? address setup time t as 1.000 ? 1.000 ? 1.000 ? 1.000 ? address hold time t ah 0.000 ? 0.000 ? 0.000 ? 0.000 ? output hold time t oh 0.455 ? 0.462 ? 0.471 ? 0.476 ? ns table 5-46 1-port ram and 2-port ram write- cycle ac characteristics table l2j04008/l2k04008 l2j04010/l2k04010 l2j04018/l2k04018 l2j04020/l2k04020 parameter symbol min. max. min. max. min. max. min. max. unit write-cycle time t wcy 2.896 ? 3.003 ? 3.109 ? 3.214 ? clock high pulse width t ckh 0.500 ? 0.500 ? 0.500 ? 0.500 ? clock low pulse width t ckl 0.500 ? 0.500 ? 0.500 ? 0.500 ? xcs setup time t css 1.000 ? 1.000 ? 1.000 ? 1.000 ? xcs hold time t csh 0.000 ? 0.000 ? 0.000 ? 0.000 ? address setup time t as 1.000 ? 1.000 ? 1.000 ? 1.000 ? xwe setup time t wes 1.000 ? 1.000 ? 1.000 ? 1.000 ? xwe hold time t weh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xwa setup time t was 1.000 ? 1.000 ? 1.000 ? 1.000 ? xwa hold time t wah 0.000 ? 0.000 ? 0.000 ? 0.000 ? address hold time t ah 0.000 ? 0.000 ? 0.000 ? 0.000 ? data hold time t dh 0.000 ? 0.000 ? 0.000 ? 0.000 ? data setup time t ds 1.000 ? 1.000 ? 1.000 ? 1.000 ? write-data hold time t wdh 0.958 ? 0.979 ? 1.004 ? 1.021 ? write-data through time t wdt ? 2.896 ? 3.003 ? 3.109 ? 3.214 ns
chapter 5 memory blocks standard cell s1k70000 series epson 115 embedded array S1X70000 series 2) 1.8-v specification (v dd = 1.8 v 0.15 v, t a = -40c to +85c) 128-word table 5-47 1-port ram and 2-port ram read- cycle ac characteristics table l2j08008/l2k08008 l2j08010/l2k08010 l2j08018/l2k08018 l2j08020/l2k08020 parameter symbol min. max. min. max. min. max. min. max. unit access time t acs , t acc ? 3.976 ? 4.038 ? 4.092 ? 4.154 read-cycle time t rcy 3.976 ? 4.038 ? 4.092 ? 4.154 ? clock high pulse width t ckh 0.500 ? 0.500 ? 0.500 ? 0.500 ? clock low pulse width t ckl 0.500 ? 0.500 ? 0.500 ? 0.500 ? xcs setup time t css 1.000 ? 1.000 ? 1.000 ? 1.000 ? xcs hold time t csh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xwe setup time t wes 1.000 ? 1.000 ? 1.000 ? 1.000 ? xwe hold time t weh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xrb setup time t rbs 1.000 ? 1.000 ? 1.000 ? 1.000 ? xrb hold time t rbh 0.000 ? 0.000 ? 0.000 ? 0.000 ? address setup time t as 1.000 ? 1.000 ? 1.000 ? 1.000 ? address hold time t ah 0.000 ? 0.000 ? 0.000 ? 0.000 ? output hold time t oh 0.460 ? 0.468 ? 0.476 ? 0.484 ? ns table 5-48 1-port ram and 2-port ram write- cycle ac characteristics table l2j08008/l2k08008 l2j08010/l2k08010 l2j08018/l2k08018 l2j08020/l2k08020 parameter symbol min. max. min. max. min. max. min. max. unit write-cycle time t wcy 2.970 ? 3.072 ? 3.168 ? 3.271 ? clock high pulse width t ckh 0.500 ? 0.500 ? 0.500 ? 0.500 ? clock low pulse width t ckl 0.500 ? 0.500 ? 0.500 ? 0.500 ? xcs setup time t css 1.000 ? 1.000 ? 1.000 ? 1.000 ? xcs hold time t csh 0.000 ? 0.000 ? 0.000 ? 0.000 ? address setup time t as 1.000 ? 1.000 ? 1.000 ? 1.000 ? xwe setup time t wes 1.000 ? 1.000 ? 1.000 ? 1.000 ? xwe hold time t weh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xwa setup time t was 1.000 ? 1.000 ? 1.000 ? 1.000 ? xwa hold time t wah 0.000 ? 0.000 ? 0.000 ? 0.000 ? address hold time t ah 0.000 ? 0.000 ? 0.000 ? 0.000 ? data hold time t dh 0.000 ? 0.000 ? 0.000 ? 0.000 ? data setup time t ds 1.000 ? 1.000 ? 1.000 ? 1.000 ? write-data hold time t wdh 0.983 ? 1.004 ? 1.027 ? 1.047 ? write-data through time t wdt ? 2.970 ? 3.072 ? 3.168 ? 3.271 ns
chapter 5 memory blocks 116 epson standard cell s1k70000 series embedded array S1X70000 series 3) 1.8-v specification (v dd = 1.8 v 0.15 v, t a = -40c to +85c) 192-word table 5-49 1-port ram and 2-port ram read- cycle ac characteristics table l2j0c008/l2k0c008 l2j0c010/l2k0c010 l2j0c018/l2k0c018 l2j0c020/l2k0c020 parameter symbol min. max. min. max. min. max. min. max. unit access time t acs , t acc ? 4.805 ? 4.883 ? 4.927 ? 4.985 read-cycle time t rcy 4.805 ? 4.883 ? 4.927 ? 4.985 ? clock high pulse width t ckh 0.500 ? 0.500 ? 0.500 ? 0.500 ? clock low pulse width t ckl 0.500 ? 0.500 ? 0.500 ? 0.500 ? xcs setup time t css 1.000 ? 1.000 ? 1.000 ? 1.000 ? xcs hold time t csh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xwe setup time t wes 1.000 ? 1.000 ? 1.000 ? 1.000 ? xwe hold time t weh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xrb setup time t rbs 1.000 ? 1.000 ? 1.000 ? 1.000 ? xrb hold time t rbh 0.000 ? 0.000 ? 0.000 ? 0.000 ? address setup time t as 1.000 ? 1.000 ? 1.000 ? 1.000 ? address hold time t ah 0.000 ? 0.000 ? 0.000 ? 0.000 ? output hold time t oh 0.471 ? 0.477 ? 0.484 ? 0.489 ? ns table 5-50 1-port ram and 2-port ram write- cycle ac characteristics table l2j0c008/l2k0c008 l2j0c010/l2k0c010 l2j0c018/l2k0c018 l2j0c020/l2k0c020 parameter symbol min. max. min. max. min. max. min. max. unit write-cycle time t wcy 3.003 ? 3.102 ? 3.198 ? 3.292 ? clock high pulse width t ckh 0.500 ? 0.500 ? 0.500 ? 0.500 ? clock low pulse width t ckl 0.500 ? 0.500 ? 0.500 ? 0.500 ? xcs setup time t css 1.000 ? 1.000 ? 1.000 ? 1.000 ? xcs hold time t csh 0.000 ? 0.000 ? 0.000 ? 0.000 ? address setup time t as 1.000 ? 1.000 ? 1.000 ? 1.000 ? xwe setup time t wes 1.000 ? 1.000 ? 1.000 ? 1.000 ? xwe hold time t weh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xwa setup time t was 1.000 ? 1.000 ? 1.000 ? 1.000 ? xwa hold time t wah 0.000 ? 0.000 ? 0.000 ? 0.000 ? address hold time t ah 0.000 ? 0.000 ? 0.000 ? 0.000 ? data hold time t dh 0.000 ? 0.000 ? 0.000 ? 0.000 ? data setup time t ds 1.000 ? 1.000 ? 1.000 ? 1.000 ? write-data hold time t wdh 0.998 ? 1.021 ? 1.040 ? 1.062 ? write-data through time t wdt ? 3.003 ? 3.102 ? 3.198 ? 3.292 ns
chapter 5 memory blocks standard cell s1k70000 series epson 117 embedded array S1X70000 series 4) 1.8-v specification (v dd = 1.8 v 0.15 v, t a = -40c to +85c) 256-word table 5-51 1-port ram and 2-port ram read- cycle ac characteristics table l2j10008/l2k10008 l2j10010/l2k10010 l2j10018/l2k10018 l2j10020/l2k10020 parameter symbol min. max. min. max. min. max. min. max. unit access time t acs , t acc ? 5.536 ? 5.596 ? 5.647 ? 5.703 read-cycle time t rcy 5.536 ? 5.596 ? 5.647 ? 5.703 ? clock high pulse width t ckh 0.500 ? 0.500 ? 0.500 ? 0.500 ? clock low pulse width t ckl 0.500 ? 0.500 ? 0.500 ? 0.500 ? xcs setup time t css 1.000 ? 1.000 ? 1.000 ? 1.000 ? xcs hold time t csh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xwe setup time t wes 1.000 ? 1.000 ? 1.000 ? 1.000 ? xwe hold time t weh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xrb setup time t rbs 1.000 ? 1.000 ? 1.000 ? 1.000 ? xrb hold time t rbh 0.000 ? 0.000 ? 0.000 ? 0.000 ? address setup time t as 1.000 ? 1.000 ? 1.000 ? 1.000 ? address hold time t ah 0.000 ? 0.000 ? 0.000 ? 0.000 ? output hold time t oh 0.471 ? 0.478 ? 0.485 ? 0.491 ? ns table 5-52 1-port ram and 2-port ram write- cycle ac characteristics table l2j10008/l2k10008 l2j10010/l2k10010 l2j10018/l2k10018 l2j10020/l2k10020 parameter symbol min. max. min. max. min. max. min. max. unit write-cycle time t wcy 3.017 ? 3.110 ? 3.211 ? 3.311 ? clock high pulse width t ckh 0.500 ? 0.500 ? 0.500 ? 0.500 ? clock low pulse width t ckl 0.500 ? 0.500 ? 0.500 ? 0.500 ? xcs setup time t css 1.000 ? 1.000 ? 1.000 ? 1.000 ? xcs hold time t csh 0.000 ? 0.000 ? 0.000 ? 0.000 ? address setup time t as 1.000 ? 1.000 ? 1.000 ? 1.000 ? xwe setup time t wes 1.000 ? 1.000 ? 1.000 ? 1.000 ? xwe hold time t weh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xwa setup time t was 1.000 ? 1.000 ? 1.000 ? 1.000 ? xwa hold time t wah 0.000 ? 0.000 ? 0.000 ? 0.000 ? address hold time t ah 0.000 ? 0.000 ? 0.000 ? 0.000 ? data hold time t dh 0.000 ? 0.000 ? 0.000 ? 0.000 ? data setup time t ds 1.000 ? 1.000 ? 1.000 ? 1.000 ? write-data hold time t wdh 1.009 ? 1.033 ? 1.049 ? 1.073 ? write-data through time t wdt ? 3.017 ? 3.110 ? 3.211 ? 3.311 ns
chapter 5 memory blocks 118 epson standard cell s1k70000 series embedded array S1X70000 series 5) 1.8-v specification (v dd = 1.8 v 0.15 v, t a = 0c to +70c) 64-word table 5-53 1-port ram and 2-port ram read- cycle ac characteristics table l2j04008/l2k04008 l2j04010/l2k04010 l2j04018/l2k04018 l2j04020/l2k04020 parameter symbol min. max. min. max. min. max. min. max. unit access time t acs , t acc ? 2.970 ? 3.026 ? 3.092 ? 3.160 read-cycle time t rcy 2.970 ? 3.026 ? 3.092 ? 3.160 ? clock high pulse width t ckh 0.500 ? 0.500 ? 0.500 ? 0.500 ? clock low pulse width t ckl 0.500 ? 0.500 ? 0.500 ? 0.500 ? xcs setup time t css 1.000 ? 1.000 ? 1.000 ? 1.000 ? xcs hold time t csh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xwe setup time t wes 1.000 ? 1.000 ? 1.000 ? 1.000 ? xwe hold time t weh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xrb setup time t rbs 1.000 ? 1.000 ? 1.000 ? 1.000 ? xrb hold time t rbh 0.000 ? 0.000 ? 0.000 ? 0.000 ? address setup time t as 1.000 ? 1.000 ? 1.000 ? 1.000 ? address hold time t ah 0.000 ? 0.000 ? 0.000 ? 0.000 ? output hold time t oh 0.474 ? 0.482 ? 0.492 ? 0.497 ? ns table 5-54 1-port ram and 2-port ram write- cycle ac characteristics table l2j04008/l2k04008 l2j04010/l2k04010 l2j04018/l2k04018 l2j04020/l2k04020 parameter symbol min. max. min. max. min. max. min. max. unit write-cycle time t wcy 2.787 ? 2.890 ? 2.992 ? 3.094 ? clock high pulse width t ckh 0.500 ? 0.500 ? 0.500 ? 0.500 ? clock low pulse width t ckl 0.500 ? 0.500 ? 0.500 ? 0.500 ? xcs setup time t css 1.000 ? 1.000 ? 1.000 ? 1.000 ? xcs hold time t csh 0.000 ? 0.000 ? 0.000 ? 0.000 ? address setup time t as 1.000 ? 1.000 ? 1.000 ? 1.000 ? xwe setup time t wes 1.000 ? 1.000 ? 1.000 ? 1.000 ? xwe hold time t weh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xwa setup time t was 1.000 ? 1.000 ? 1.000 ? 1.000 ? xwa hold time t wah 0.000 ? 0.000 ? 0.000 ? 0.000 ? address hold time t ah 0.000 ? 0.000 ? 0.000 ? 0.000 ? data hold time t dh 0.000 ? 0.000 ? 0.000 ? 0.000 ? data setup time t ds 1.000 ? 1.000 ? 1.000 ? 1.000 ? write-data hold time t wdh 0.999 ? 1.021 ? 1.047 ? 1.065 ? write-data through time t wdt ? 2.787 ? 2.890 ? 2.992 ? 3.094 ns
chapter 5 memory blocks standard cell s1k70000 series epson 119 embedded array S1X70000 series 6) 1.8-v specification (v dd = 1.8 v 0.15 v, t a = 0c to +70c) 128-word table 5-55 1-port ram and 2-port ram read- cycle ac characteristics table l2j08008/l2k08008 l2j08010/l2k08010 l2j08018/l2k08018 l2j08020/l2k08020 parameter symbol min. max. min. max. min. max. min. max. unit access time t acs , t acc ? 3.827 ? 3.887 ? 3.939 ? 3.998 read-cycle time t rcy 3.827 ? 3.887 ? 3.939 ? 3.998 ? clock high pulse width t ckh 0.500 ? 0.500 ? 0.500 ? 0.500 ? clock low pulse width t ckl 0.500 ? 0.500 ? 0.500 ? 0.500 ? xcs setup time t css 1.000 ? 1.000 ? 1.000 ? 1.000 ? xcs hold time t csh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xwe setup time t wes 1.000 ? 1.000 ? 1.000 ? 1.000 ? xwe hold time t weh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xrb setup time t rbs 1.000 ? 1.000 ? 1.000 ? 1.000 ? xrb hold time t rbh 0.000 ? 0.000 ? 0.000 ? 0.000 ? address setup time t as 1.000 ? 1.000 ? 1.000 ? 1.000 ? address hold time t ah 0.000 ? 0.000 ? 0.000 ? 0.000 ? output hold time t oh 0.480 ? 0.488 ? 0.497 ? 0.505 ? ns table 5-56 1-port ram and 2-port ram write- cycle ac characteristics table l2j08008/l2k08008 l2j08010/l2k08010 l2j08018/l2k08018 l2j08020/l2k08020 parameter symbol min. max. min. max. min. max. min. max. unit write-cycle time t wcy 2.858 ? 2.957 ? 3.050 ? 3.148 ? clock high pulse width t ckh 0.500 ? 0.500 ? 0.500 ? 0.500 ? clock low pulse width t ckl 0.500 ? 0.500 ? 0.500 ? 0.500 ? xcs setup time t css 1.000 ? 1.000 ? 1.000 ? 1.000 ? xcs hold time t csh 0.000 ? 0.000 ? 0.000 ? 0.000 ? address setup time t as 1.000 ? 1.000 ? 1.000 ? 1.000 ? xwe setup time t wes 1.000 ? 1.000 ? 1.000 ? 1.000 ? xwe hold time t weh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xwa setup time t was 1.000 ? 1.000 ? 1.000 ? 1.000 ? xwa hold time t wah 0.000 ? 0.000 ? 0.000 ? 0.000 ? address hold time t ah 0.000 ? 0.000 ? 0.000 ? 0.000 ? data hold time t dh 0.000 ? 0.000 ? 0.000 ? 0.000 ? data setup time t ds 1.000 ? 1.000 ? 1.000 ? 1.000 ? write-data hold time t wdh 1.026 ? 1.048 ? 1.071 ? 1.093 ? write-data through time t wdt ? 2.858 ? 2.957 ? 3.050 ? 3.148 ns
chapter 5 memory blocks 120 epson standard cell s1k70000 series embedded array S1X70000 series 7) 1.8-v specification (v dd = 1.8 v 0.15 v, t a = 0c to +70c) 192-word table 5-57 1-port ram and 2-port ram read- cycle ac characteristics table l2j0c008/l2k0c008 l2j0c010/l2k0c010 l2j0c018/l2k0c018 l2j0c020/l2k0c020 parameter symbol min. max. min. max. min. max. min. max. unit access time t acs , t acc ? 4.625 ? 4.700 ? 4.742 ? 4.798 read-cycle time t rcy 4.625 ? 4.700 ? 4.742 ? 4.798 ? clock high pulse width t ckh 0.500 ? 0.500 ? 0.500 ? 0.500 ? clock low pulse width t ckl 0.500 ? 0.500 ? 0.500 ? 0.500 ? xcs setup time t css 1.000 ? 1.000 ? 1.000 ? 1.000 ? xcs hold time t csh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xwe setup time t wes 1.000 ? 1.000 ? 1.000 ? 1.000 ? xwe hold time t weh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xrb setup time t rbs 1.000 ? 1.000 ? 1.000 ? 1.000 ? xrb hold time t rbh 0.000 ? 0.000 ? 0.000 ? 0.000 ? address setup time t as 1.000 ? 1.000 ? 1.000 ? 1.000 ? address hold time t ah 0.000 ? 0.000 ? 0.000 ? 0.000 ? output hold time t oh 0.491 ? 0.498 ? 0.505 ? 0.511 ? ns table 5-58 1-port ram and 2-port ram write- cycle ac characteristics table l2j0c008/l2k0c008 l2j0c010/l2k0c010 l2j0c018/l2k0c018 l2j0c020/l2k0c020 parameter symbol min. max. min. max. min. max. min. max. unit write-cycle time t wcy 2.890 ? 2.985 ? 3.078 ? 3.168 ? clock high pulse width t ckh 0.500 ? 0.500 ? 0.500 ? 0.500 ? clock low pulse width t ckl 0.500 ? 0.500 ? 0.500 ? 0.500 ? xcs setup time t css 1.000 ? 1.000 ? 1.000 ? 1.000 ? xcs hold time t csh 0.000 ? 0.000 ? 0.000 ? 0.000 ? address setup time t as 1.000 ? 1.000 ? 1.000 ? 1.000 ? xwe setup time t wes 1.000 ? 1.000 ? 1.000 ? 1.000 ? xwe hold time t weh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xwa setup time t was 1.000 ? 1.000 ? 1.000 ? 1.000 ? xwa hold time t wah 0.000 ? 0.000 ? 0.000 ? 0.000 ? address hold time t ah 0.000 ? 0.000 ? 0.000 ? 0.000 ? data hold time t dh 0.000 ? 0.000 ? 0.000 ? 0.000 ? data setup time t ds 1.000 ? 1.000 ? 1.000 ? 1.000 ? write-data hold time t wdh 1.041 ? 1.065 ? 1.085 ? 1.108 ? write-data through time t wdt ? 2.890 ? 2.985 ? 3.078 ? 3.168 ns
chapter 5 memory blocks standard cell s1k70000 series epson 121 embedded array S1X70000 series 8) 1.8-v specification (v dd = 1.8 v 0.15 v, t a = 0c to +70c) 256-word table 5-59 1-port ram and 2-port ram read- cycle ac characteristics table l2j10008/l2k10008 l2j10010/l2k10010 l2j10018/l2k10018 l2j10020/l2k10020 parameter symbol min. max. min. max. min. max. min. max. unit access time t acs , t acc ? 5.328 ? 5.386 ? 5.435 ? 5.489 read-cycle time t rcy 5.328 ? 5.386 ? 5.435 ? 5.489 ? clock high pulse width t ckh 0.500 ? 0.500 ? 0.500 ? 0.500 ? clock low pulse width t ckl 0.500 ? 0.500 ? 0.500 ? 0.500 ? xcs setup time t css 1.000 ? 1.000 ? 1.000 ? 1.000 ? xcs hold time t csh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xwe setup time t wes 1.000 ? 1.000 ? 1.000 ? 1.000 ? xwe hold time t weh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xrb setup time t rbs 1.000 ? 1.000 ? 1.000 ? 1.000 ? xrb hold time t rbh 0.000 ? 0.000 ? 0.000 ? 0.000 ? address setup time t as 1.000 ? 1.000 ? 1.000 ? 1.000 ? address hold time t ah 0.000 ? 0.000 ? 0.000 ? 0.000 ? output hold time t oh 0.492 ? 0.498 ? 0.506 ? 0.512 ? ns table 5-60 1-port ram and 2-port ram write- cycle ac characteristics table l2j10008/l2k10008 l2j10010/l2k10010 l2j10018/l2k10018 l2j10020/l2k10020 parameter symbol min. max. min. max. min. max. min. max. unit write-cycle time t wcy 2.904 ? 2.994 ? 3.090 ? 3.187 ? clock high pulse width t ckh 0.500 ? 0.500 ? 0.500 ? 0.500 ? clock low pulse width t ckl 0.500 ? 0.500 ? 0.500 ? 0.500 ? xcs setup time t css 1.000 ? 1.000 ? 1.000 ? 1.000 ? xcs hold time t csh 0.000 ? 0.000 ? 0.000 ? 0.000 ? address setup time t as 1.000 ? 1.000 ? 1.000 ? 1.000 ? xwe setup time t wes 1.000 ? 1.000 ? 1.000 ? 1.000 ? xwe hold time t weh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xwa setup time t was 1.000 ? 1.000 ? 1.000 ? 1.000 ? xwa hold time t wah 0.000 ? 0.000 ? 0.000 ? 0.000 ? address hold time t ah 0.000 ? 0.000 ? 0.000 ? 0.000 ? data hold time t dh 0.000 ? 0.000 ? 0.000 ? 0.000 ? data setup time t ds 1.000 ? 1.000 ? 1.000 ? 1.000 ? write-data hold time t wdh 1.053 ? 1.078 ? 1.095 ? 1.119 ? write-data through time t wdt ? 2.904 ? 2.994 ? 3.090 ? 3.187 ns
chapter 5 memory blocks 122 epson standard cell s1k70000 series embedded array S1X70000 series 9) 1.5-v specification (v dd = 1.5 v 0.1 v, t a = -40c to +85c) 64-word table 5-61 1-port ram and 2-port ram read- cycle ac characteristics table l2j04008/l2k04008 l2j04010/l2k04010 l2j04018/l2k04018 l2j04020/l2k04020 parameter symbol min. max. min. max. min. max. min. max. unit access time t acs , t acc ? 3.990 ? 4.070 ? 4.151 ? 4.244 read-cycle time t rcy 3.990 ? 4.070 ? 4.151 ? 4.244 ? clock high pulse width t ckh 0.500 ? 0.500 ? 0.500 ? 0.500 ? clock low pulse width t ckl 0.500 ? 0.500 ? 0.500 ? 0.500 ? xcs setup time t css 1.200 ? 1.200 ? 1.200 ? 1.200 ? xcs hold time t csh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xwe setup time t wes 1.200 ? 1.200 ? 1.200 ? 1.200 ? xwe hold time t weh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xrb setup time t rbs 1.200 ? 1.200 ? 1.200 ? 1.200 ? xrb hold time t rbh 0.000 ? 0.000 ? 0.000 ? 0.000 ? address setup time t as 1.200 ? 1.200 ? 1.200 ? 1.200 ? address hold time t ah 0.000 ? 0.000 ? 0.000 ? 0.000 ? output hold time t oh 0.550 ? 0.568 ? 0.580 ? 0.593 ? ns table 5-62 1-port ram and 2-port ram write- cycle ac characteristics table l2j04008/l2k04008 l2j04010/l2k04010 l2j04018/l2k04018 l2j04020/l2k04020 parameter symbol min. max. min. max. min. max. min. max. unit write-cycle time t wcy 3.570 ? 3.689 ? 3.809 ? 3.929 ? clock high pulse width t ckh 0.500 ? 0.500 ? 0.500 ? 0.500 ? clock low pulse width t ckl 0.500 ? 0.500 ? 0.500 ? 0.500 ? xcs setup time t css 1.200 ? 1.200 ? 1.200 ? 1.200 ? xcs hold time t csh 0.000 ? 0.000 ? 0.000 ? 0.000 ? address setup time t as 1.200 ? 1.200 ? 1.200 ? 1.200 ? xwe setup time t wes 1.200 ? 1.200 ? 1.200 ? 1.200 ? xwe hold time t weh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xwa setup time t was 1.200 ? 1.200 ? 1.200 ? 1.200 ? xwa hold time t wah 0.000 ? 0.000 ? 0.000 ? 0.000 ? address hold time t ah 0.000 ? 0.000 ? 0.000 ? 0.000 ? data hold time t dh 0.000 ? 0.000 ? 0.000 ? 0.000 ? data setup time t ds 1.200 ? 1.200 ? 1.200 ? 1.200 ? write-data hold time t wdh 1.131 ? 1.158 ? 1.186 ? 1.213 ? write-data through time t wdt ? 3.570 ? 3.689 ? 3.809 ? 3.929 ns
chapter 5 memory blocks standard cell s1k70000 series epson 123 embedded array S1X70000 series 10) 1.5-v specification (v dd = 1.5 v 0.1 v, t a = -40c to +85c) 128-word table 5-63 1-port ram and 2-port ram read- cycle ac characteristics table l2j04008/l2k04008 l2j04010/l2k04010 l2j04018/l2k04018 l2j04020/l2k04020 parameter symbol min. max. min. max. min. max. min. max. unit access time t acs , t acc ? 5.192 ? 5.265 ? 5.332 ? 5.414 read-cycle time t rcy 5.192 ? 5.265 ? 5.332 ? 5.414 ? clock high pulse width t ckh 0.500 ? 0.500 ? 0.500 ? 0.500 ? clock low pulse width t ckl 0.500 ? 0.500 ? 0.500 ? 0.500 ? xcs setup time t css 1.200 ? 1.200 ? 1.200 ? 1.200 ? xcs hold time t csh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xwe setup time t wes 1.200 ? 1.200 ? 1.200 ? 1.200 ? xwe hold time t weh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xrb setup time t rbs 1.200 ? 1.200 ? 1.200 ? 1.200 ? xrb hold time t rbh 0.000 ? 0.000 ? 0.000 ? 0.000 ? address setup time t as 1.200 ? 1.200 ? 1.200 ? 1.200 ? address hold time t ah 0.000 ? 0.000 ? 0.000 ? 0.000 ? output hold time t oh 0.559 ? 0.575 ? 0.590 ? 0.598 ? ns table 5-64 1-port ram and 2-port ram write- cycle ac characteristics table l2j04008/l2k04008 l2j04010/l2k04010 l2j04018/l2k04018 l2j04020/l2k04020 parameter symbol min. max. min. max. min. max. min. max. unit write-cycle time t wcy 3.637 ? 3.770 ? 3.904 ? 3.997 ? clock high pulse width t ckh 0.500 ? 0.500 ? 0.500 ? 0.500 ? clock low pulse width t ckl 0.500 ? 0.500 ? 0.500 ? 0.500 ? xcs setup time t css 1.200 ? 1.200 ? 1.200 ? 1.200 ? xcs hold time t csh 0.000 ? 0.000 ? 0.000 ? 0.000 ? address setup time t as 1.200 ? 1.200 ? 1.200 ? 1.200 ? xwe setup time t wes 1.200 ? 1.200 ? 1.200 ? 1.200 ? xwe hold time t weh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xwa setup time t was 1.200 ? 1.200 ? 1.200 ? 1.200 ? xwa hold time t wah 0.000 ? 0.000 ? 0.000 ? 0.000 ? address hold time t ah 0.000 ? 0.000 ? 0.000 ? 0.000 ? data hold time t dh 0.000 ? 0.000 ? 0.000 ? 0.000 ? data setup time t ds 1.200 ? 1.200 ? 1.200 ? 1.200 ? write-data hold time t wdh 1.172 ? 1.201 ? 1.227 ? 1.252 ? write-data through time t wdt ? 3.637 ? 3.770 ? 3.904 ? 3.997 ns
chapter 5 memory blocks 124 epson standard cell s1k70000 series embedded array S1X70000 series 11) 1.5-v specification (v dd = 1.5 v 0.1 v, t a = -40c to +85c) 192-word table 5-65 1-port ram and 2-port ram read- cycle ac characteristics table l2j08008/l2k08008 l2j08010/l2k08010 l2j08018/l2k08018 l2j08020/l2k08020 parameter symbol min. max. min. max. min. max. min. max. unit access time t acs , t acc ? 6.331 ? 6.405 ? 6.477 ? 6.549 read-cycle time t rcy 6.331 ? 6.405 ? 6.477 ? 6.549 ? clock high pulse width t ckh 0.500 ? 0.500 ? 0.500 ? 0.500 ? clock low pulse width t ckl 0.500 ? 0.500 ? 0.500 ? 0.500 ? xcs setup time t css 1.200 ? 1.200 ? 1.200 ? 1.200 ? xcs hold time t csh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xwe setup time t wes 1.200 ? 1.200 ? 1.200 ? 1.200 ? xwe hold time t weh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xrb setup time t rbs 1.200 ? 1.200 ? 1.200 ? 1.200 ? xrb hold time t rbh 0.000 ? 0.000 ? 0.000 ? 0.000 ? address setup time t as 1.200 ? 1.200 ? 1.200 ? 1.200 ? address hold time t ah 0.000 ? 0.000 ? 0.000 ? 0.000 ? output hold time t oh 0.572 ? 0.586 ? 0.598 ? 0.613 ? ns table 5-66 1-port ram and 2-port ram write- cycle ac characteristics table l2j08008/l2k08008 l2j08010/l2k08010 l2j08018/l2k08018 l2j08020/l2k08020 parameter symbol min. max. min. max. min. max. min. max. unit write-cycle time t wcy 3.677 ? 3.825 ? 3.943 ? 4.045 ? clock high pulse width t ckh 0.500 ? 0.500 ? 0.500 ? 0.500 ? clock low pulse width t ckl 0.500 ? 0.500 ? 0.500 ? 0.500 ? xcs setup time t css 1.200 ? 1.200 ? 1.200 ? 1.200 ? xcs hold time t csh 0.000 ? 0.000 ? 0.000 ? 0.000 ? address setup time t as 1.200 ? 1.200 ? 1.200 ? 1.200 ? xwe setup time t wes 1.200 ? 1.200 ? 1.200 ? 1.200 ? xwe hold time t weh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xwa setup time t was 1.200 ? 1.200 ? 1.200 ? 1.200 ? xwa hold time t wah 0.000 ? 0.000 ? 0.000 ? 0.000 ? address hold time t ah 0.000 ? 0.000 ? 0.000 ? 0.000 ? data hold time t dh 0.000 ? 0.000 ? 0.000 ? 0.000 ? data setup time t ds 1.200 ? 1.200 ? 1.200 ? 1.200 ? write-data hold time t wdh 1.197 ? 1.224 ? 1.250 ? 1.276 ? write-data through time t wdt ? 3.677 ? 3.825 ? 3.943 ? 4.045 ns
chapter 5 memory blocks standard cell s1k70000 series epson 125 embedded array S1X70000 series 12) 1.5-v specification (v dd = 1.5 v 0.1 v, t a = -40c to +85c) 256-word table 5-67 1-port ram and 2-port ram read- cycle ac characteristics table l2j0c008/l2k0c008 l2j0c010/l2k0c010 l2j0c018/l2k0c018 l2j0c020/l2k0c020 parameter symbol min. max. min. max. min. max. min. max. unit access time t acs , t acc ? 7.347 ? 7.408 ? 7.489 ? 7.556 read-cycle time t rcy 7.347 ? 7.408 ? 7.489 ? 7.556 ? clock high pulse width t ckh 0.500 ? 0.500 ? 0.500 ? 0.500 ? clock low pulse width t ckl 0.500 ? 0.500 ? 0.500 ? 0.500 ? xcs setup time t css 1.200 ? 1.200 ? 1.200 ? 1.200 ? xcs hold time t csh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xwe setup time t wes 1.200 ? 1.200 ? 1.200 ? 1.200 ? xwe hold time t weh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xrb setup time t rbs 1.200 ? 1.200 ? 1.200 ? 1.200 ? xrb hold time t rbh 0.000 ? 0.000 ? 0.000 ? 0.000 ? address setup time t as 1.200 ? 1.200 ? 1.200 ? 1.200 ? address hold time t ah 0.000 ? 0.000 ? 0.000 ? 0.000 ? output hold time t oh 0.574 ? 0.588 ? 0.600 ? 0.615 ? ns table 5-68 1-port ram and 2-port ram write- cycle ac characteristics table l2j0c008/l2k0c008 l2j0c010/l2k0c010 l2j0c018/l2k0c018 l2j0c020/l2k0c020 parameter symbol min. max. min. max. min. max. min. max. unit write-cycle time t wcy 3.696 ? 3.830 ? 3.964 ? 4.064 ? clock high pulse width t ckh 0.500 ? 0.500 ? 0.500 ? 0.500 ? clock low pulse width t ckl 0.500 ? 0.500 ? 0.500 ? 0.500 ? xcs setup time t css 1.200 ? 1.200 ? 1.200 ? 1.200 ? xcs hold time t csh 0.000 ? 0.000 ? 0.000 ? 0.000 ? address setup time t as 1.200 ? 1.200 ? 1.200 ? 1.200 ? xwe setup time t wes 1.200 ? 1.200 ? 1.200 ? 1.200 ? xwe hold time t weh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xwa setup time t was 1.200 ? 1.200 ? 1.200 ? 1.200 ? xwa hold time t wah 0.000 ? 0.000 ? 0.000 ? 0.000 ? address hold time t ah 0.000 ? 0.000 ? 0.000 ? 0.000 ? data hold time t dh 0.000 ? 0.000 ? 0.000 ? 0.000 ? data setup time t ds 1.200 ? 1.200 ? 1.200 ? 1.200 ? write-data hold time t wdh 1.216 ? 1.237 ? 1.265 ? 1.291 ? write-data through time t wdt ? 3.696 ? 3.830 ? 3.964 ? 4.064 ns
chapter 5 memory blocks 126 epson standard cell s1k70000 series embedded array S1X70000 series 13) 1.5-v specification (v dd = 1.5 v 0.1 v, t a = 0c to +70c) 64-word table 5-69 1-port ram and 2-port ram read- cycle ac characteristics table l2j08008/l2k08008 l2j08010/l2k08010 l2j08018/l2k08018 l2j08020/l2k08020 parameter symbol min. max. min. max. min. max. min. max. unit access time t acs , t acc ? 3.891 ? 3.968 ? 4.047 ? 4.138 read-cycle time t rcy 3.891 ? 3.968 ? 4.047 ? 4.138 ? clock high pulse width t ckh 0.500 ? 0.500 ? 0.500 ? 0.500 ? clock low pulse width t ckl 0.500 ? 0.500 ? 0.500 ? 0.500 ? xcs setup time t css 1.200 ? 1.200 ? 1.200 ? 1.200 ? xcs hold time t csh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xwe setup time t wes 1.200 ? 1.200 ? 1.200 ? 1.200 ? xwe hold time t weh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xrb setup time t rbs 1.200 ? 1.200 ? 1.200 ? 1.200 ? xrb hold time t rbh 0.000 ? 0.000 ? 0.000 ? 0.000 ? address setup time t as 1.200 ? 1.200 ? 1.200 ? 1.200 ? address hold time t ah 0.000 ? 0.000 ? 0.000 ? 0.000 ? output hold time t oh 0.575 ? 0.594 ? 0.606 ? 0.620 ? ns table 5-70 1-port ram and 2-port ram write- cycle ac characteristics table l2j08008/l2k08008 l2j08010/l2k08010 l2j08018/l2k08018 l2j08020/l2k08020 parameter symbol min. max. min. max. min. max. min. max. unit write-cycle time t wcy 3.481 ? 3.597 ? 3.714 ? 3.831 ? clock high pulse width t ckh 0.500 ? 0.500 ? 0.500 ? 0.500 ? clock low pulse width t ckl 0.500 ? 0.500 ? 0.500 ? 0.500 ? xcs setup time t css 1.200 ? 1.200 ? 1.200 ? 1.200 ? xcs hold time t csh 0.000 ? 0.000 ? 0.000 ? 0.000 ? address setup time t as 1.200 ? 1.200 ? 1.200 ? 1.200 ? xwe setup time t wes 1.200 ? 1.200 ? 1.200 ? 1.200 ? xwe hold time t weh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xwa setup time t was 1.200 ? 1.200 ? 1.200 ? 1.200 ? xwa hold time t wah 0.000 ? 0.000 ? 0.000 ? 0.000 ? address hold time t ah 0.000 ? 0.000 ? 0.000 ? 0.000 ? data hold time t dh 0.000 ? 0.000 ? 0.000 ? 0.000 ? data setup time t ds 1.200 ? 1.200 ? 1.200 ? 1.200 ? write-data hold time t wdh 1.182 ? 1.210 ? 1.239 ? 1.267 ? write-data through time t wdt ? 3.481 ? 3.597 ? 3.714 ? 3.831 ns
chapter 5 memory blocks standard cell s1k70000 series epson 127 embedded array S1X70000 series 14) 1.5-v specification (v dd = 1.5 v 0.1 v, t a = 0c to +70c) 128-word table 5-71 1-port ram and 2-port ram read- cycle ac characteristics table l2j0c008/l2k0c008 l2j0c010/l2k0c010 l2j0c018/l2k0c018 l2j0c020/l2k0c020 parameter symbol min. max. min. max. min. max. min. max. unit access time t acs , t acc ? 5.063 ? 5.133 ? 5.198 ? 5.279 read-cycle time t rcy 5.063 ? 5.133 ? 5.198 ? 5.279 ? clock high pulse width t ckh 0.500 ? 0.500 ? 0.500 ? 0.500 ? clock low pulse width t ckl 0.500 ? 0.500 ? 0.500 ? 0.500 ? xcs setup time t css 1.200 ? 1.200 ? 1.200 ? 1.200 ? xcs hold time t csh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xwe setup time t wes 1.200 ? 1.200 ? 1.200 ? 1.200 ? xwe hold time t weh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xrb setup time t rbs 1.200 ? 1.200 ? 1.200 ? 1.200 ? xrb hold time t rbh 0.000 ? 0.000 ? 0.000 ? 0.000 ? address setup time t as 1.200 ? 1.200 ? 1.200 ? 1.200 ? address hold time t ah 0.000 ? 0.000 ? 0.000 ? 0.000 ? output hold time t oh 0.584 ? 0.601 ? 0.616 ? 0.625 ? ns table 5-72 1-port ram and 2-port ram write- cycle ac characteristics table l2j0c008/l2k0c008 l2j0c010/l2k0c010 l2j0c018/l2k0c018 l2j0c020/l2k0c020 parameter symbol min. max. min. max. min. max. min. max. unit write-cycle time t wcy 3.546 ? 3.676 ? 3.807 ? 3.898 ? clock high pulse width t ckh 0.500 ? 0.500 ? 0.500 ? 0.500 ? clock low pulse width t ckl 0.500 ? 0.500 ? 0.500 ? 0.500 ? xcs setup time t css 1.200 ? 1.200 ? 1.200 ? 1.200 ? xcs hold time t csh 0.000 ? 0.000 ? 0.000 ? 0.000 ? address setup time t as 1.200 ? 1.200 ? 1.200 ? 1.200 ? xwe setup time t wes 1.200 ? 1.200 ? 1.200 ? 1.200 ? xwe hold time t weh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xwa setup time t was 1.200 ? 1.200 ? 1.200 ? 1.200 ? xwa hold time t wah 0.000 ? 0.000 ? 0.000 ? 0.000 ? address hold time t ah 0.000 ? 0.000 ? 0.000 ? 0.000 ? data hold time t dh 0.000 ? 0.000 ? 0.000 ? 0.000 ? data setup time t ds 1.200 ? 1.200 ? 1.200 ? 1.200 ? write-data hold time t wdh 1.224 ? 1.255 ? 1.282 ? 1.308 ? write-data through time t wdt ? 3.546 ? 3.676 ? 3.807 ? 3.898 ns
chapter 5 memory blocks 128 epson standard cell s1k70000 series embedded array S1X70000 series 15) 1.5-v specification (v dd = 1.5 v 0.1 v, t a = 0c to +70c) 192-word table 5-73 1-port ram and 2-port ram read- cycle ac characteristics table l2j10008/l2k10008 l2j10010/l2k10010 l2j10018/l2k10018 l2j10020/l2k10020 parameter symbol min. max. min. max. min. max. min. max. unit access time t acs , t acc ? 6.173 ? 6.245 ? 6.315 ? 6.386 read-cycle time t rcy 6.173 ? 6.245 ? 6.315 ? 6.386 ? clock high pulse width t ckh 0.500 ? 0.500 ? 0.500 ? 0.500 ? clock low pulse width t ckl 0.500 ? 0.500 ? 0.500 ? 0.500 ? xcs setup time t css 1.200 ? 1.200 ? 1.200 ? 1.200 ? xcs hold time t csh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xwe setup time t wes 1.200 ? 1.200 ? 1.200 ? 1.200 ? xwe hold time t weh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xrb setup time t rbs 1.200 ? 1.200 ? 1.200 ? 1.200 ? xrb hold time t rbh 0.000 ? 0.000 ? 0.000 ? 0.000 ? address setup time t as 1.200 ? 1.200 ? 1.200 ? 1.200 ? address hold time t ah 0.000 ? 0.000 ? 0.000 ? 0.000 ? output hold time t oh 0.597 ? 0.612 ? 0.625 ? 0.640 ? ns table 5-74 1-port ram and 2-port ram write- cycle ac characteristics table l2j10008/l2k10008 l2j10010/l2k10010 l2j10018/l2k10018 l2j10020/l2k10020 parameter symbol min. max. min. max. min. max. min. max. unit write-cycle time t wcy 3.585 ? 3.729 ? 3.844 ? 3.944 ? clock high pulse width t ckh 0.500 ? 0.500 ? 0.500 ? 0.500 ? clock low pulse width t ckl 0.500 ? 0.500 ? 0.500 ? 0.500 ? xcs setup time t css 1.200 ? 1.200 ? 1.200 ? 1.200 ? xcs hold time t csh 0.000 ? 0.000 ? 0.000 ? 0.000 ? address setup time t as 1.200 ? 1.200 ? 1.200 ? 1.200 ? xwe setup time t wes 1.200 ? 1.200 ? 1.200 ? 1.200 ? xwe hold time t weh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xwa setup time t was 1.200 ? 1.200 ? 1.200 ? 1.200 ? xwa hold time t wah 0.000 ? 0.000 ? 0.000 ? 0.000 ? address hold time t ah 0.000 ? 0.000 ? 0.000 ? 0.000 ? data hold time t dh 0.000 ? 0.000 ? 0.000 ? 0.000 ? data setup time t ds 1.200 ? 1.200 ? 1.200 ? 1.200 ? write-data hold time t wdh 1.251 ? 1.279 ? 1.306 ? 1.333 ? write-data through time t wdt ? 3.585 ? 3.729 ? 3.844 ? 3.944 ns
chapter 5 memory blocks standard cell s1k70000 series epson 129 embedded array S1X70000 series 16) 1.5-v specification (v dd = 1.5 v 0.1 v, t a = 0c to +70c) 256-word table 5-75 1-port ram and 2-port ram read- cycle ac characteristics table l2j10008/l2k10008 l2j10010/l2k10010 l2j10018/l2k10018 l2j10020/l2k10020 parameter symbol min. max. min. max. min. max. min. max. unit access time t acs , t acc ? 7.163 ? 7.223 ? 7.302 ? 7.367 read-cycle time t rcy 7.163 ? 7.223 ? 7.302 ? 7.367 ? clock high pulse width t ckh 0.500 ? 0.500 ? 0.500 ? 0.500 ? clock low pulse width t ckl 0.500 ? 0.500 ? 0.500 ? 0.500 ? xcs setup time t css 1.200 ? 1.200 ? 1.200 ? 1.200 ? xcs hold time t csh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xwe setup time t wes 1.200 ? 1.200 ? 1.200 ? 1.200 ? xwe hold time t weh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xrb setup time t rbs 1.200 ? 1.200 ? 1.200 ? 1.200 ? xrb hold time t rbh 0.000 ? 0.000 ? 0.000 ? 0.000 ? address setup time t as 1.200 ? 1.200 ? 1.200 ? 1.200 ? address hold time t ah 0.000 ? 0.000 ? 0.000 ? 0.000 ? output hold time t oh 0.599 ? 0.614 ? 0.627 ? 0.643 ? ns table 5-76 1-port ram and 2-port ram write- cycle ac characteristics table l2j10008/l2k10008 l2j10010/l2k10010 l2j10018/l2k10018 l2j10020/l2k10020 parameter symbol min. max. min. max. min. max. min. max. unit write-cycle time t wcy 3.604 ? 3.735 ? 3.865 ? 3.963 ? clock high pulse width t ckh 0.500 ? 0.500 ? 0.500 ? 0.500 ? clock low pulse width t ckl 0.500 ? 0.500 ? 0.500 ? 0.500 ? xcs setup time t css 1.200 ? 1.200 ? 1.200 ? 1.200 ? xcs hold time t csh 0.000 ? 0.000 ? 0.000 ? 0.000 ? address setup time t as 1.200 ? 1.200 ? 1.200 ? 1.200 ? xwe setup time t wes 1.200 ? 1.200 ? 1.200 ? 1.200 ? xwe hold time t weh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xwa setup time t was 1.200 ? 1.200 ? 1.200 ? 1.200 ? xwa hold time t wah 0.000 ? 0.000 ? 0.000 ? 0.000 ? address hold time t ah 0.000 ? 0.000 ? 0.000 ? 0.000 ? data hold time t dh 0.000 ? 0.000 ? 0.000 ? 0.000 ? data setup time t ds 1.200 ? 1.200 ? 1.200 ? 1.200 ? write-data hold time t wdh 1.271 ? 1.293 ? 1.322 ? 1.348 ? write-data through time t wdt ? 3.604 ? 3.735 ? 3.865 ? 3.963 ns
chapter 5 memory blocks 130 epson standard cell s1k70000 series embedded array S1X70000 series (3) delay parameters for the low-leakage type 1) 1.8-v specification (v dd = 1.8 v 0.15 v, t a = -40c to +85c) 64-word table 5-77 1-port ram and 2-port ram read- cycle ac characteristics table l3j04008/l3k04008 l3j04010/l3k04010 l3j04018/l3k04018 l3j04020/l3k04020 parameter symbol min. max. min. max. min. max. min. max. unit access time t acs , t acc ? 8.772 ? 8.950 ? 9.128 ? 9.305 read-cycle time t rcy 8.772 ? 8.950 ? 9.128 ? 9.305 ? clock high pulse width t ckh 0.500 ? 0.500 ? 0.500 ? 0.500 ? clock low pulse width t ckl 0.500 ? 0.500 ? 0.500 ? 0.500 ? xcs setup time t css 2.000 ? 2.000 ? 2.000 ? 2.000 ? xcs hold time t csh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xwe setup time t wes 2.000 ? 2.000 ? 2.000 ? 2.000 ? xwe hold time t weh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xrb setup time t rbs 2.000 ? 2.000 ? 2.000 ? 2.000 ? xrb hold time t rbh 0.000 ? 0.000 ? 0.000 ? 0.000 ? address setup time t as 2.000 ? 2.000 ? 2.000 ? 2.000 ? address hold time t ah 0.000 ? 0.000 ? 0.000 ? 0.000 ? output hold time t oh 1.228 ? 1.250 ? 1.277 ? 1.300 ? ns table 5-78 1-port ram and 2-port ram write- cycle ac characteristics table l3j04008/l3k04008 l3j04010/l3k04010 l3j04018/l3k04018 l3j04020/l3k04020 parameter symbol min. max. min. max. min. max. min. max. unit write-cycle time t wcy 7.279 ? 7.512 ? 7.748 ? 7.983 ? clock high pulse width t ckh 0.500 ? 0.500 ? 0.500 ? 0.500 ? clock low pulse width t ckl 0.500 ? 0.500 ? 0.500 ? 0.500 ? xcs setup time t css 2.000 ? 2.000 ? 2.000 ? 2.000 ? xcs hold time t csh 0.000 ? 0.000 ? 0.000 ? 0.000 ? address setup time t as 2.000 ? 2.000 ? 2.000 ? 2.000 ? xwe setup time t wes 2.000 ? 2.000 ? 2.000 ? 2.000 ? xwe hold time t weh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xwa setup time t was 2.000 ? 2.000 ? 2.000 ? 2.000 ? xwa hold time t wah 0.000 ? 0.000 ? 0.000 ? 0.000 ? address hold time t ah 0.000 ? 0.000 ? 0.000 ? 0.000 ? data hold time t dh 0.000 ? 0.000 ? 0.000 ? 0.000 ? data setup time t ds 2.000 ? 2.000 ? 2.000 ? 2.000 ? write-data hold time t wdh 2.406 ? 2.497 ? 2.574 ? 2.654 ? write-data through time t wdt ? 7.279 ? 7.512 ? 7.748 ? 7.983 ns
chapter 5 memory blocks standard cell s1k70000 series epson 131 embedded array S1X70000 series 2) 1.8-v specification (v dd = 1.8 v 0.15 v, t a = -40c to +85c) 128-word table 5-79 1-port ram and 2-port ram read- cycle ac characteristics table l3j08008/l3k08008 l3j08010/l3k08010 l3j08018/l3k08018 l3j08020/l3k08020 parameter symbol min. max. min. max. min. max. min. max. unit access time t acs , t acc ? 11.837 ? 11.980 ? 12.132 ? 12.275 read-cycle time t rcy 11.837 ? 11.980 ? 12.132 ? 12.275 ? clock high pulse width t ckh 0.500 ? 0.500 ? 0.500 ? 0.500 ? clock low pulse width t ckl 0.500 ? 0.500 ? 0.500 ? 0.500 ? xcs setup time t css 2.000 ? 2.000 ? 2.000 ? 2.000 ? xcs hold time t csh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xwe setup time t wes 2.000 ? 2.000 ? 2.000 ? 2.000 ? xwe hold time t weh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xrb setup time t rbs 2.000 ? 2.000 ? 2.000 ? 2.000 ? xrb hold time t rbh 0.000 ? 0.000 ? 0.000 ? 0.000 ? address setup time t as 2.000 ? 2.000 ? 2.000 ? 2.000 ? address hold time t ah 0.000 ? 0.000 ? 0.000 ? 0.000 ? output hold time t oh 1.231 ? 1.257 ? 1.281 ? 1.307 ? ns table 5-80 1-port ram and 2-port ram write- cycle ac characteristics table l3j08008/l3k08008 l3j08010/l3k08010 l3j08018/l3k08018 l3j08020/l3k08020 parameter symbol min. max. min. max. min. max. min. max. unit write-cycle time t wcy 7.414 ? 7.585 ? 7.857 ? 8.032 ? clock high pulse width t ckh 0.500 ? 0.500 ? 0.500 ? 0.500 ? clock low pulse width t ckl 0.500 ? 0.500 ? 0.500 ? 0.500 ? xcs setup time t css 2.000 ? 2.000 ? 2.000 ? 2.000 ? xcs hold time t csh 0.000 ? 0.000 ? 0.000 ? 0.000 ? address setup time t as 2.000 ? 2.000 ? 2.000 ? 2.000 ? xwe setup time t wes 2.000 ? 2.000 ? 2.000 ? 2.000 ? xwe hold time t weh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xwa setup time t was 2.000 ? 2.000 ? 2.000 ? 2.000 ? xwa hold time t wah 0.000 ? 0.000 ? 0.000 ? 0.000 ? address hold time t ah 0.000 ? 0.000 ? 0.000 ? 0.000 ? data hold time t dh 0.000 ? 0.000 ? 0.000 ? 0.000 ? data setup time t ds 2.000 ? 2.000 ? 2.000 ? 2.000 ? write-data hold time t wdh 2.478 ? 2.565 ? 2.646 ? 2.725 ? write-data through time t wdt ? 7.414 ? 7.585 ? 7.857 ? 8.032 ns
chapter 5 memory blocks 132 epson standard cell s1k70000 series embedded array S1X70000 series 3) 1.8-v specification (v dd = 1.8 v 0.15 v, t a = -40c to +85c) 192-word table 5-81 1-port ram and 2-port ram read- cycle ac characteristics table l3j0c008/l3k0c008 l3j0c010/l3k0c010 l3j0c018/l3k0c018 l3j0c020/l3k0c020 parameter symbol min. max. min. max. min. max. min. max. unit access time t acs , t acc ? 14.544 ? 14.689 ? 14.838 ? 14.983 read-cycle time t rcy 14.544 ? 14.689 ? 14.838 ? 14.983 ? clock high pulse width t ckh 0.500 ? 0.500 ? 0.500 ? 0.500 ? clock low pulse width t ckl 0.500 ? 0.500 ? 0.500 ? 0.500 ? xcs setup time t css 2.000 ? 2.000 ? 2.000 ? 2.000 ? xcs hold time t csh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xwe setup time t wes 2.000 ? 2.000 ? 2.000 ? 2.000 ? xwe hold time t weh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xrb setup time t rbs 2.000 ? 2.000 ? 2.000 ? 2.000 ? xrb hold time t rbh 0.000 ? 0.000 ? 0.000 ? 0.000 ? address setup time t as 2.000 ? 2.000 ? 2.000 ? 2.000 ? address hold time t ah 0.000 ? 0.000 ? 0.000 ? 0.000 ? output hold time t oh 1.272 ? 1.297 ? 1.317 ? 1.344 ? ns table 5-82 1-port ram and 2-port ram write- cycle ac characteristics table l3j0c008/l3k0c008 l3j0c010/l3k0c010 l3j0c018/l3k0c018 l3j0c020/l3k0c020 parameter symbol min. max. min. max. min. max. min. max. unit write-cycle time t wcy 7.428 ? 7.658 ? 7.867 ? 8.063 ? clock high pulse width t ckh 0.500 ? 0.500 ? 0.500 ? 0.500 ? clock low pulse width t ckl 0.500 ? 0.500 ? 0.500 ? 0.500 ? xcs setup time t css 2.000 ? 2.000 ? 2.000 ? 2.000 ? xcs hold time t csh 0.000 ? 0.000 ? 0.000 ? 0.000 ? address setup time t as 2.000 ? 2.000 ? 2.000 ? 2.000 ? xwe setup time t wes 2.000 ? 2.000 ? 2.000 ? 2.000 ? xwe hold time t weh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xwa setup time t was 2.000 ? 2.000 ? 2.000 ? 2.000 ? xwa hold time t wah 0.000 ? 0.000 ? 0.000 ? 0.000 ? address hold time t ah 0.000 ? 0.000 ? 0.000 ? 0.000 ? data hold time t dh 0.000 ? 0.000 ? 0.000 ? 0.000 ? data setup time t ds 2.000 ? 2.000 ? 2.000 ? 2.000 ? write-data hold time t wdh 2.562 ? 2.634 ? 2.710 ? 2.782 ? write-data through time t wdt ? 7.428 ? 7.658 ? 7.867 ? 8.063 ns
chapter 5 memory blocks standard cell s1k70000 series epson 133 embedded array S1X70000 series 4) 1.8-v specification (v dd = 1.8 v 0.15 v, t a = -40c to +85c) 256-word table 5-83 1-port ram and 2-port ram read- cycle ac characteristics table l3j10008/l3k10008 l3j10010/l3k10010 l3j10018/l3k10018 l3j10020/l3k10020 parameter symbol min. max. min. max. min. max. min. max. unit access time t acs , t acc ? 17.341 ? 17.480 ? 17.618 ? 17.757 read-cycle time t rcy 17.341 ? 17.480 ? 17.618 ? 17.757 ? clock high pulse width t ckh 0.500 ? 0.500 ? 0.500 ? 0.500 ? clock low pulse width t ckl 0.500 ? 0.500 ? 0.500 ? 0.500 ? xcs setup time t css 2.000 ? 2.000 ? 2.000 ? 2.000 ? xcs hold time t csh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xwe setup time t wes 2.000 ? 2.000 ? 2.000 ? 2.000 ? xwe hold time t weh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xrb setup time t rbs 2.000 ? 2.000 ? 2.000 ? 2.000 ? xrb hold time t rbh 0.000 ? 0.000 ? 0.000 ? 0.000 ? address setup time t as 2.000 ? 2.000 ? 2.000 ? 2.000 ? address hold time t ah 0.000 ? 0.000 ? 0.000 ? 0.000 ? output hold time t oh 1.291 ? 1.314 ? 1.337 ? 1.360 ? ns table 5-84 1-port ram and 2-port ram write- cycle ac characteristics table l3j10008/l3k10008 l3j10010/l3k10010 l3j10018/l3k10018 l3j10020/l3k10020 parameter symbol min. max. min. max. min. max. min. max. unit write-cycle time t wcy 7.512 ? 7.734 ? 7.948 ? 8.168 ? clock high pulse width t ckh 0.500 ? 0.500 ? 0.500 ? 0.500 ? clock low pulse width t ckl 0.500 ? 0.500 ? 0.500 ? 0.500 ? xcs setup time t css 2.000 ? 2.000 ? 2.000 ? 2.000 ? xcs hold time t csh 0.000 ? 0.000 ? 0.000 ? 0.000 ? address setup time t as 2.000 ? 2.000 ? 2.000 ? 2.000 ? xwe setup time t wes 2.000 ? 2.000 ? 2.000 ? 2.000 ? xwe hold time t weh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xwa setup time t was 2.000 ? 2.000 ? 2.000 ? 2.000 ? xwa hold time t wah 0.000 ? 0.000 ? 0.000 ? 0.000 ? address hold time t ah 0.000 ? 0.000 ? 0.000 ? 0.000 ? data hold time t dh 0.000 ? 0.000 ? 0.000 ? 0.000 ? data setup time t ds 2.000 ? 2.000 ? 2.000 ? 2.000 ? write-data hold time t wdh 2.623 ? 2.698 ? 2.775 ? 2.844 ? write-data through time t wdt ? 7.512 ? 7.734 ? 7.948 ? 8.168 ns
chapter 5 memory blocks 134 epson standard cell s1k70000 series embedded array S1X70000 series 5) 1.8-v specification (v dd = 1.8 v 0.15 v, t a = 0c to +70c) 64-word table 5-85 1-port ram and 2-port ram read- cycle ac characteristics table l3j04008/l3k04008 l3j04010/l3k04010 l3j04018/l3k04018 l3j04020/l3k04020 parameter symbol min. max. min. max. min. max. min. max. unit access time t acs , t acc ? 8.424 ? 8.594 ? 8.765 ? 8.936 read-cycle time t rcy 8.424 ? 8.594 ? 8.765 ? 8.936 ? clock high pulse width t ckh 0.500 ? 0.500 ? 0.500 ? 0.500 ? clock low pulse width t ckl 0.500 ? 0.500 ? 0.500 ? 0.500 ? xcs setup time t css 2.000 ? 2.000 ? 2.000 ? 2.000 ? xcs hold time t csh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xwe setup time t wes 2.000 ? 2.000 ? 2.000 ? 2.000 ? xwe hold time t weh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xrb setup time t rbs 2.000 ? 2.000 ? 2.000 ? 2.000 ? xrb hold time t rbh 0.000 ? 0.000 ? 0.000 ? 0.000 ? address setup time t as 2.000 ? 2.000 ? 2.000 ? 2.000 ? address hold time t ah 0.000 ? 0.000 ? 0.000 ? 0.000 ? output hold time t oh 1.281 ? 1.304 ? 1.332 ? 1.356 ? ns table 5-86 1-port ram and 2-port ram write- cycle ac characteristics table l3j04008/l3k04008 l3j04010/l3k04010 l3j04018/l3k04018 l3j04020/l3k04020 parameter symbol min. max. min. max. min. max. min. max. unit write-cycle time t wcy 6.990 ? 7.213 ? 7.441 ? 7.666 ? clock high pulse width t ckh 0.500 ? 0.500 ? 0.500 ? 0.500 ? clock low pulse width t ckl 0.500 ? 0.500 ? 0.500 ? 0.500 ? xcs setup time t css 2.000 ? 2.000 ? 2.000 ? 2.000 ? xcs hold time t csh 0.000 ? 0.000 ? 0.000 ? 0.000 ? address setup time t as 2.000 ? 2.000 ? 2.000 ? 2.000 ? xwe setup time t wes 2.000 ? 2.000 ? 2.000 ? 2.000 ? xwe hold time t weh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xwa setup time t was 2.000 ? 2.000 ? 2.000 ? 2.000 ? xwa hold time t wah 0.000 ? 0.000 ? 0.000 ? 0.000 ? address hold time t ah 0.000 ? 0.000 ? 0.000 ? 0.000 ? data hold time t dh 0.000 ? 0.000 ? 0.000 ? 0.000 ? data setup time t ds 2.000 ? 2.000 ? 2.000 ? 2.000 ? write-data hold time t wdh 2.511 ? 2.605 ? 2.686 ? 2.770 ? write-data through time t wdt ? 6.990 ? 7.213 ? 7.441 ? 7.666 ns
chapter 5 memory blocks standard cell s1k70000 series epson 135 embedded array S1X70000 series 6) 1.8-v specification (v dd = 1.8 v 0.15 v, t a = 0c to +70c) 128-word table 5-87 1-port ram and 2-port ram read- cycle ac characteristics table l3j08008/l3k08008 l3j08010/l3k08010 l3j08018/l3k08018 l3j08020/l3k08020 parameter symbol min. max. min. max. min. max. min. max. unit access time t acs , t acc ? 11.366 ? 11.504 ? 11.650 ? 11.788 read-cycle time t rcy 11.366 ? 11.504 ? 11.650 ? 11.788 ? clock high pulse width t ckh 0.500 ? 0.500 ? 0.500 ? 0.500 ? clock low pulse width t ckl 0.500 ? 0.500 ? 0.500 ? 0.500 ? xcs setup time t css 2.000 ? 2.000 ? 2.000 ? 2.000 ? xcs hold time t csh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xwe setup time t wes 2.000 ? 2.000 ? 2.000 ? 2.000 ? xwe hold time t weh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xrb setup time t rbs 2.000 ? 2.000 ? 2.000 ? 2.000 ? xrb hold time t rbh 0.000 ? 0.000 ? 0.000 ? 0.000 ? address setup time t as 2.000 ? 2.000 ? 2.000 ? 2.000 ? address hold time t ah 0.000 ? 0.000 ? 0.000 ? 0.000 ? output hold time t oh 1.284 ? 1.312 ? 1.337 ? 1.363 ? ns table 5-88 1-port ram and 2-port ram write- cycle ac characteristics table l3j08008/l3k08008 l3j08010/l3k08010 l3j08018/l3k08018 l3j08020/l3k08020 parameter symbol min. max. min. max. min. max. min. max. unit write-cycle time t wcy 7.119 ? 7.284 ? 7.545 ? 7.713 ? clock high pulse width t ckh 0.500 ? 0.500 ? 0.500 ? 0.500 ? clock low pulse width t ckl 0.500 ? 0.500 ? 0.500 ? 0.500 ? xcs setup time t css 2.000 ? 2.000 ? 2.000 ? 2.000 ? xcs hold time t csh 0.000 ? 0.000 ? 0.000 ? 0.000 ? address setup time t as 2.000 ? 2.000 ? 2.000 ? 2.000 ? xwe setup time t wes 2.000 ? 2.000 ? 2.000 ? 2.000 ? xwe hold time t weh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xwa setup time t was 2.000 ? 2.000 ? 2.000 ? 2.000 ? xwa hold time t wah 0.000 ? 0.000 ? 0.000 ? 0.000 ? address hold time t ah 0.000 ? 0.000 ? 0.000 ? 0.000 ? data hold time t dh 0.000 ? 0.000 ? 0.000 ? 0.000 ? data setup time t ds 2.000 ? 2.000 ? 2.000 ? 2.000 ? write-data hold time t wdh 2.586 ? 2.677 ? 2.761 ? 2.843 ? write-data through time t wdt ? 7.119 ? 7.284 ? 7.545 ? 7.713 ns
chapter 5 memory blocks 136 epson standard cell s1k70000 series embedded array S1X70000 series 7) 1.8-v specification (v dd = 1.8 v 0.15 v, t a = 0c to +70c) 192-word table 5-89 1-port ram and 2-port ram read- cycle ac characteristics table l3j0c008/l3k0c008 l3j0c010/l3k0c010 l3j0c018/l3k0c018 l3j0c020/l3k0c020 parameter symbol min. max. min. max. min. max. min. max. unit access time t acs , t acc ? 13.966 ? 14.106 ? 14.249 ? 14.388 read-cycle time t rcy 13.966 ? 14.106 ? 14.249 ? 14.388 ? clock high pulse width t ckh 0.500 ? 0.500 ? 0.500 ? 0.500 ? clock low pulse width t ckl 0.500 ? 0.500 ? 0.500 ? 0.500 ? xcs setup time t css 2.000 ? 2.000 ? 2.000 ? 2.000 ? xcs hold time t csh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xwe setup time t wes 2.000 ? 2.000 ? 2.000 ? 2.000 ? xwe hold time t weh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xrb setup time t rbs 2.000 ? 2.000 ? 2.000 ? 2.000 ? xrb hold time t rbh 0.000 ? 0.000 ? 0.000 ? 0.000 ? address setup time t as 2.000 ? 2.000 ? 2.000 ? 2.000 ? address hold time t ah 0.000 ? 0.000 ? 0.000 ? 0.000 ? output hold time t oh 1.327 ? 1.353 ? 1.374 ? 1.402 ? ns table 5-90 1-port ram and 2-port ram write- cycle ac characteristics table l3j0c008/l3k0c008 l3j0c010/l3k0c010 l3j0c018/l3k0c018 l3j0c020/l3k0c020 parameter symbol min. max. min. max. min. max. min. max. unit write-cycle time t wcy 7.133 ? 7.353 ? 7.554 ? 7.743 ? clock high pulse width t ckh 0.500 ? 0.500 ? 0.500 ? 0.500 ? clock low pulse width t ckl 0.500 ? 0.500 ? 0.500 ? 0.500 ? xcs setup time t css 2.000 ? 2.000 ? 2.000 ? 2.000 ? xcs hold time t csh 0.000 ? 0.000 ? 0.000 ? 0.000 ? address setup time t as 2.000 ? 2.000 ? 2.000 ? 2.000 ? xwe setup time t wes 2.000 ? 2.000 ? 2.000 ? 2.000 ? xwe hold time t weh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xwa setup time t was 2.000 ? 2.000 ? 2.000 ? 2.000 ? xwa hold time t wah 0.000 ? 0.000 ? 0.000 ? 0.000 ? address hold time t ah 0.000 ? 0.000 ? 0.000 ? 0.000 ? data hold time t dh 0.000 ? 0.000 ? 0.000 ? 0.000 ? data setup time t ds 2.000 ? 2.000 ? 2.000 ? 2.000 ? write-data hold time t wdh 2.673 ? 2.749 ? 2.827 ? 2.903 ? write-data through time t wdt ? 7.133 ? 7.353 ? 7.554 ? 7.743 ns
chapter 5 memory blocks standard cell s1k70000 series epson 137 embedded array S1X70000 series 8) 1.8-v specification (v dd = 1.8 v 0.15 v, t a = 0c to +70c) 256-word table 5-91 1-port ram and 2-port ram read- cycle ac characteristics table l3j10008/l3k10008 l3j10010/l3k10010 l3j10018/l3k10018 l3j10020/l3k10020 parameter symbol min. max. min. max. min. max. min. max. unit access time t acs , t acc ? 16.652 ? 16.785 ? 16.918 ? 17.051 read-cycle time t rcy 16.652 ? 16.785 ? 16.918 ? 17.051 ? clock high pulse width t ckh 0.500 ? 0.500 ? 0.500 ? 0.500 ? clock low pulse width t ckl 0.500 ? 0.500 ? 0.500 ? 0.500 ? xcs setup time t css 2.000 ? 2.000 ? 2.000 ? 2.000 ? xcs hold time t csh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xwe setup time t wes 2.000 ? 2.000 ? 2.000 ? 2.000 ? xwe hold time t weh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xrb setup time t rbs 2.000 ? 2.000 ? 2.000 ? 2.000 ? xrb hold time t rbh 0.000 ? 0.000 ? 0.000 ? 0.000 ? address setup time t as 2.000 ? 2.000 ? 2.000 ? 2.000 ? address hold time t ah 0.000 ? 0.000 ? 0.000 ? 0.000 ? output hold time t oh 1.347 ? 1.371 ? 1.395 ? 1.419 ? ns table 5-92 1-port ram and 2-port ram write- cycle ac characteristics table l3j10008/l3k10008 l3j10010/l3k10010 l3j10018/l3k10018 l3j10020/l3k10020 parameter symbol min. max. min. max. min. max. min. max. unit write-cycle time t wcy 7.213 ? 7.427 ? 7.633 ? 7.844 ? clock high pulse width t ckh 0.500 ? 0.500 ? 0.500 ? 0.500 ? clock low pulse width t ckl 0.500 ? 0.500 ? 0.500 ? 0.500 ? xcs setup time t css 2.000 ? 2.000 ? 2.000 ? 2.000 ? xcs hold time t csh 0.000 ? 0.000 ? 0.000 ? 0.000 ? address setup time t as 2.000 ? 2.000 ? 2.000 ? 2.000 ? xwe setup time t wes 2.000 ? 2.000 ? 2.000 ? 2.000 ? xwe hold time t weh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xwa setup time t was 2.000 ? 2.000 ? 2.000 ? 2.000 ? xwa hold time t wah 0.000 ? 0.000 ? 0.000 ? 0.000 ? address hold time t ah 0.000 ? 0.000 ? 0.000 ? 0.000 ? data hold time t dh 0.000 ? 0.000 ? 0.000 ? 0.000 ? data setup time t ds 2.000 ? 2.000 ? 2.000 ? 2.000 ? write-data hold time t wdh 2.737 ? 2.816 ? 2.895 ? 2.967 ? write-data through time t wdt ? 7.213 ? 7.427 ? 7.633 ? 7.844 ns
chapter 5 memory blocks 138 epson standard cell s1k70000 series embedded array S1X70000 series 9) 1.5-v specification (v dd = 1.5 v 0.1 v, t a = -40c to +85c) 64-word table 5-93 1-port ram and 2-port ram read- cycle ac characteristics table l3j04008/l3k04008 l3j04010/l3k04010 l3j04018/l3k04018 l3j04020/l3k04020 parameter symbol min. max. min. max. min. max. min. max. unit access time t acs , t acc ? 14.298 ? 14.588 ? 14.878 ? 15.168 read-cycle time t rcy 14.298 ? 14.588 ? 14.878 ? 15.168 ? clock high pulse width t ckh 0.500 ? 0.500 ? 0.500 ? 0.500 ? clock low pulse width t ckl 0.500 ? 0.500 ? 0.500 ? 0.500 ? xcs setup time t css 2.800 ? 2.800 ? 2.800 ? 2.800 ? xcs hold time t csh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xwe setup time t wes 2.800 ? 2.800 ? 2.800 ? 2.800 ? xwe hold time t weh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xrb setup time t rbs 2.800 ? 2.800 ? 2.800 ? 2.800 ? xrb hold time t rbh 0.000 ? 0.000 ? 0.000 ? 0.000 ? address setup time t as 2.800 ? 2.800 ? 2.800 ? 2.800 ? address hold time t ah 0.000 ? 0.000 ? 0.000 ? 0.000 ? output hold time t oh 1.800 ? 1.832 ? 1.872 ? 1.905 ? ns table 5-94 1-port ram and 2-port ram write- cycle ac characteristics table l3j04008/l3k04008 l3j04010/l3k04010 l3j04018/l3k04018 l3j04020/l3k04020 parameter symbol min. max. min. max. min. max. min. max. unit write-cycle time t wcy 11.864 ? 12.243 ? 12.630 ? 13.012 ? clock high pulse width t ckh 0.500 ? 0.500 ? 0.500 ? 0.500 ? clock low pulse width t ckl 0.500 ? 0.500 ? 0.500 ? 0.500 ? xcs setup time t css 2.800 ? 2.800 ? 2.800 ? 2.800 ? xcs hold time t csh 0.000 ? 0.000 ? 0.000 ? 0.000 ? address setup time t as 2.800 ? 2.800 ? 2.800 ? 2.800 ? xwe setup time t wes 2.800 ? 2.800 ? 2.800 ? 2.800 ? xwe hold time t weh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xwa setup time t was 2.800 ? 2.800 ? 2.800 ? 2.800 ? xwa hold time t wah 0.000 ? 0.000 ? 0.000 ? 0.000 ? address hold time t ah 0.000 ? 0.000 ? 0.000 ? 0.000 ? data hold time t dh 0.000 ? 0.000 ? 0.000 ? 0.000 ? data setup time t ds 2.800 ? 2.800 ? 2.800 ? 2.800 ? write-data hold time t wdh 3.528 ? 3.661 ? 3.774 ? 3.892 ? write-data through time t wdt ? 11.864 ? 12.243 ? 12.630 ? 13.012 ns
chapter 5 memory blocks standard cell s1k70000 series epson 139 embedded array S1X70000 series 10) 1.5-v specification (v dd = 1.5 v 0.1 v, t a = -40c to +85c) 128-word table 5-95 1-port ram and 2-port ram read- cycle ac characteristics table l3j08008/l3k08008 l3j08010/l3k08010 l3j08018/l3k08018 l3j08020/l3k08020 parameter symbol min. max. min. max. min. max. min. max. unit access time t acs , t acc ? 19.294 ? 19.526 ? 19.776 ? 20.008 read-cycle time t rcy 19.294 ? 19.526 ? 19.776 ? 20.008 ? clock high pulse width t ckh 0.500 ? 0.500 ? 0.500 ? 0.500 ? clock low pulse width t ckl 0.500 ? 0.500 ? 0.500 ? 0.500 ? xcs setup time t css 2.800 ? 2.800 ? 2.800 ? 2.800 ? xcs hold time t csh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xwe setup time t wes 2.800 ? 2.800 ? 2.800 ? 2.800 ? xwe hold time t weh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xrb setup time t rbs 2.800 ? 2.800 ? 2.800 ? 2.800 ? xrb hold time t rbh 0.000 ? 0.000 ? 0.000 ? 0.000 ? address setup time t as 2.800 ? 2.800 ? 2.800 ? 2.800 ? address hold time t ah 0.000 ? 0.000 ? 0.000 ? 0.000 ? output hold time t oh 1.804 ? 1.843 ? 1.878 ? 1.916 ? ns table 5-96 1-port ram and 2-port ram write- cycle ac characteristics table l3j08008/l3k08008 l3j08010/l3k08010 l3j08018/l3k08018 l3j08020/l3k08020 parameter symbol min. max. min. max. min. max. min. max. unit write-cycle time t wcy 12.084 ? 12.363 ? 12.807 ? 13.093 ? clock high pulse width t ckh 0.500 ? 0.500 ? 0.500 ? 0.500 ? clock low pulse width t ckl 0.500 ? 0.500 ? 0.500 ? 0.500 ? xcs setup time t css 2.800 ? 2.800 ? 2.800 ? 2.800 ? xcs hold time t csh 0.000 ? 0.000 ? 0.000 ? 0.000 ? address setup time t as 2.800 ? 2.800 ? 2.800 ? 2.800 ? xwe setup time t wes 2.800 ? 2.800 ? 2.800 ? 2.800 ? xwe hold time t weh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xwa setup time t was 2.800 ? 2.800 ? 2.800 ? 2.800 ? xwa hold time t wah 0.000 ? 0.000 ? 0.000 ? 0.000 ? address hold time t ah 0.000 ? 0.000 ? 0.000 ? 0.000 ? data hold time t dh 0.000 ? 0.000 ? 0.000 ? 0.000 ? data setup time t ds 2.800 ? 2.800 ? 2.800 ? 2.800 ? write-data hold time t wdh 3.634 ? 3.762 ? 3.880 ? 3.995 ? write-data through time t wdt ? 12.084 ? 12.363 ? 12.807 ? 13.093 ns
chapter 5 memory blocks 140 epson standard cell s1k70000 series embedded array S1X70000 series 11) 1.5-v specification (v dd = 1.5 v 0.1 v, t a = -40c to +85c) 192-word table 5-97 1-port ram and 2-port ram read- cycle ac characteristics table l3j0c008/l3k0c008 l3j0c010/l3k0c010 l3j0c018/l3k0c018 l3j0c020/l3k0c020 parameter symbol min. max. min. max. min. max. min. max. unit access time t acs , t acc ? 23.707 ? 23.943 ? 24.186 ? 24.422 read-cycle time t rcy 23.707 ? 23.943 ? 24.186 ? 24.422 ? clock high pulse width t ckh 0.500 ? 0.500 ? 0.500 ? 0.500 ? clock low pulse width t ckl 0.500 ? 0.500 ? 0.500 ? 0.500 ? xcs setup time t css 2.800 ? 2.800 ? 2.800 ? 2.800 ? xcs hold time t csh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xwe setup time t wes 2.800 ? 2.800 ? 2.800 ? 2.800 ? xwe hold time t weh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xrb setup time t rbs 2.800 ? 2.800 ? 2.800 ? 2.800 ? xrb hold time t rbh 0.000 ? 0.000 ? 0.000 ? 0.000 ? address setup time t as 2.800 ? 2.800 ? 2.800 ? 2.800 ? address hold time t ah 0.000 ? 0.000 ? 0.000 ? 0.000 ? output hold time t oh 1.864 ? 1.901 ? 1.931 ? 1.970 ? ns table 5-98 1-port ram and 2-port ram write- cycle ac characteristics table l3j0c008/l3k0c008 l3j0c010/l3k0c010 l3j0c018/l3k0c018 l3j0c020/l3k0c020 parameter symbol min. max. min. max. min. max. min. max. unit write-cycle time t wcy 12.108 ? 12.482 ? 12.823 ? 13.142 ? clock high pulse width t ckh 0.500 ? 0.500 ? 0.500 ? 0.500 ? clock low pulse width t ckl 0.500 ? 0.500 ? 0.500 ? 0.500 ? xcs setup time t css 2.800 ? 2.800 ? 2.800 ? 2.800 ? xcs hold time t csh 0.000 ? 0.000 ? 0.000 ? 0.000 ? address setup time t as 2.800 ? 2.800 ? 2.800 ? 2.800 ? xwe setup time t wes 2.800 ? 2.800 ? 2.800 ? 2.800 ? xwe hold time t weh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xwa setup time t was 2.800 ? 2.800 ? 2.800 ? 2.800 ? xwa hold time t wah 0.000 ? 0.000 ? 0.000 ? 0.000 ? address hold time t ah 0.000 ? 0.000 ? 0.000 ? 0.000 ? data hold time t dh 0.000 ? 0.000 ? 0.000 ? 0.000 ? data setup time t ds 2.800 ? 2.800 ? 2.800 ? 2.800 ? write-data hold time t wdh 3.756 ? 3.863 ? 3.973 ? 4.079 ? write-data through time t wdt ? 12.108 ? 12.482 ? 12.823 ? 13.142 ns
chapter 5 memory blocks standard cell s1k70000 series epson 141 embedded array S1X70000 series 12) 1.5-v specification (v dd = 1.5 v 0.1 v, t a = -40c to +85c) 256-word table 5-99 1-port ram and 2-port ram read- cycle ac characteristics table l3j10008/l3k10008 l3j10010/l3k10010 l3j10018/l3k10018 l3j10020/l3k10020 parameter symbol min. max. min. max. min. max. min. max. unit access time t acs , t acc ? 28.266 ? 28.492 ? 28.717 ? 28.943 read-cycle time t rcy 28.266 ? 28.492 ? 28.717 ? 28.943 ? clock high pulse width t ckh 0.500 ? 0.500 ? 0.500 ? 0.500 ? clock low pulse width t ckl 0.500 ? 0.500 ? 0.500 ? 0.500 ? xcs setup time t css 2.800 ? 2.800 ? 2.800 ? 2.800 ? xcs hold time t csh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xwe setup time t wes 2.800 ? 2.800 ? 2.800 ? 2.800 ? xwe hold time t weh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xrb setup time t rbs 2.800 ? 2.800 ? 2.800 ? 2.800 ? xrb hold time t rbh 0.000 ? 0.000 ? 0.000 ? 0.000 ? address setup time t as 2.800 ? 2.800 ? 2.800 ? 2.800 ? address hold time t ah 0.000 ? 0.000 ? 0.000 ? 0.000 ? output hold time t oh 1.893 ? 1.926 ? 1.960 ? 1.994 ? ns table 5-100 1-port ram and 2-port ram write- cycle ac characteristics table l3j10008/l3k10008 l3j10010/l3k10010 l3j10018/l3k10018 l3j10020/l3k10020 parameter symbol min. max. min. max. min. max. min. max. unit write-cycle time t wcy 12.243 ? 12.606 ? 12.956 ? 13.314 ? clock high pulse width t ckh 0.500 ? 0.500 ? 0.500 ? 0.500 ? clock low pulse width t ckl 0.500 ? 0.500 ? 0.500 ? 0.500 ? xcs setup time t css 2.800 ? 2.800 ? 2.800 ? 2.800 ? xcs hold time t csh 0.000 ? 0.000 ? 0.000 ? 0.000 ? address setup time t as 2.800 ? 2.800 ? 2.800 ? 2.800 ? xwe setup time t wes 2.800 ? 2.800 ? 2.800 ? 2.800 ? xwe hold time t weh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xwa setup time t was 2.800 ? 2.800 ? 2.800 ? 2.800 ? xwa hold time t wah 0.000 ? 0.000 ? 0.000 ? 0.000 ? address hold time t ah 0.000 ? 0.000 ? 0.000 ? 0.000 ? data hold time t dh 0.000 ? 0.000 ? 0.000 ? 0.000 ? data setup time t ds 2.800 ? 2.800 ? 2.800 ? 2.800 ? write-data hold time t wdh 3.846 ? 3.957 ? 4.069 ? 4.170 ? write-data through time t wdt ? 12.243 ? 12.606 ? 12.956 ? 13.314 ns
chapter 5 memory blocks 142 epson standard cell s1k70000 series embedded array S1X70000 series 13) 1.5-v specification (v dd = 1.5 v 0.1 v, t a = 0c to +70c) 64-word table 5-101 1-port ram and 2-port ram read-cycle ac characteristics table l3j04008/l3k04008 l3j04010/l3k04010 l3j04018/l3k04018 l3j04020/l3k04020 parameter symbol min. max. min. max. min. max. min. max. unit access time t acs , t acc ? 13.920 ? 14.202 ? 14.484 ? 14.766 read-cycle time t rcy 13.920 ? 14.202 ? 14.484 ? 14.766 ? clock high pulse width t ckh 0.500 ? 0.500 ? 0.500 ? 0.500 ? clock low pulse width t ckl 0.500 ? 0.500 ? 0.500 ? 0.500 ? xcs setup time t css 2.800 ? 2.800 ? 2.800 ? 2.800 ? xcs hold time t csh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xwe setup time t wes 2.800 ? 2.800 ? 2.800 ? 2.800 ? xwe hold time t weh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xrb setup time t rbs 2.800 ? 2.800 ? 2.800 ? 2.800 ? xrb hold time t rbh 0.000 ? 0.000 ? 0.000 ? 0.000 ? address setup time t as 2.800 ? 2.800 ? 2.800 ? 2.800 ? address hold time t ah 0.000 ? 0.000 ? 0.000 ? 0.000 ? output hold time t oh 1.880 ? 1.915 ? 1.955 ? 1.991 ? ns table 5-102 1-port ram and 2-port ram write- cycle ac characteristics table l3j04008/l3k04008 l3j04010/l3k04010 l3j04018/l3k04018 l3j04020/l3k04020 parameter symbol min. max. min. max. min. max. min. max. unit write-cycle time t wcy 11.550 ? 11.919 ? 12.295 ? 12.668 ? clock high pulse width t ckh 0.500 ? 0.500 ? 0.500 ? 0.500 ? clock low pulse width t ckl 0.500 ? 0.500 ? 0.500 ? 0.500 ? xcs setup time t css 2.800 ? 2.800 ? 2.800 ? 2.800 ? xcs hold time t csh 0.000 ? 0.000 ? 0.000 ? 0.000 ? address setup time t as 2.800 ? 2.800 ? 2.800 ? 2.800 ? xwe setup time t wes 2.800 ? 2.800 ? 2.800 ? 2.800 ? xwe hold time t weh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xwa setup time t was 2.800 ? 2.800 ? 2.800 ? 2.800 ? xwa hold time t wah 0.000 ? 0.000 ? 0.000 ? 0.000 ? address hold time t ah 0.000 ? 0.000 ? 0.000 ? 0.000 ? data hold time t dh 0.000 ? 0.000 ? 0.000 ? 0.000 ? data setup time t ds 2.800 ? 2.800 ? 2.800 ? 2.800 ? write-data hold time t wdh 3.686 ? 3.825 ? 3.943 ? 4.066 ? write-data through time t wdt ? 11.550 ? 11.919 ? 12.295 ? 12.668 ns
chapter 5 memory blocks standard cell s1k70000 series epson 143 embedded array S1X70000 series 14) 1.5-v specification (v dd = 1.5 v 0.1 v, t a = 0c to +70c) 128-word table 5-103 1-port ram and 2-port ram read-cycle ac characteristics table l3j08008/l3k08008 l3j08010/l3k08010 l3j08018/l3k08018 l3j08020/l3k08020 parameter symbol min. max. min. max. min. max. min. max. unit access time t acs , t acc ? 18.783 ? 19.009 ? 19.252 ? 19.478 read-cycle time t rcy 18.783 ? 19.009 ? 19.252 ? 19.478 ? clock high pulse width t ckh 0.500 ? 0.500 ? 0.500 ? 0.500 ? clock low pulse width t ckl 0.500 ? 0.500 ? 0.500 ? 0.500 ? xcs setup time t css 2.800 ? 2.800 ? 2.800 ? 2.800 ? xcs hold time t csh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xwe setup time t wes 2.800 ? 2.800 ? 2.800 ? 2.800 ? xwe hold time t weh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xrb setup time t rbs 2.800 ? 2.800 ? 2.800 ? 2.800 ? xrb hold time t rbh 0.000 ? 0.000 ? 0.000 ? 0.000 ? address setup time t as 2.800 ? 2.800 ? 2.800 ? 2.800 ? address hold time t ah 0.000 ? 0.000 ? 0.000 ? 0.000 ? output hold time t oh 1.885 ? 1.926 ? 1.962 ? 2.001 ? ns table 5-104 1-port ram and 2-port ram write- cycle ac characteristics table l3j08008/l3k08008 l3j08010/l3k08010 l3j08018/l3k08018 l3j08020/l3k08020 parameter symbol min. max. min. max. min. max. min. max. unit write-cycle time t wcy 11.764 ? 12.036 ? 12.467 ? 12.746 ? clock high pulse width t ckh 0.500 ? 0.500 ? 0.500 ? 0.500 ? clock low pulse width t ckl 0.500 ? 0.500 ? 0.500 ? 0.500 ? xcs setup time t css 2.800 ? 2.800 ? 2.800 ? 2.800 ? xcs hold time t csh 0.000 ? 0.000 ? 0.000 ? 0.000 ? address setup time t as 2.800 ? 2.800 ? 2.800 ? 2.800 ? xwe setup time t wes 2.800 ? 2.800 ? 2.800 ? 2.800 ? xwe hold time t weh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xwa setup time t was 2.800 ? 2.800 ? 2.800 ? 2.800 ? xwa hold time t wah 0.000 ? 0.000 ? 0.000 ? 0.000 ? address hold time t ah 0.000 ? 0.000 ? 0.000 ? 0.000 ? data hold time t dh 0.000 ? 0.000 ? 0.000 ? 0.000 ? data setup time t ds 2.800 ? 2.800 ? 2.800 ? 2.800 ? write-data hold time t wdh 3.797 ? 3.930 ? 4.054 ? 4.174 ? write-data through time t wdt ? 11.764 ? 12.036 ? 12.467 ? 12.746 ns
chapter 5 memory blocks 144 epson standard cell s1k70000 series embedded array S1X70000 series 15) 1.5-v specification (v dd = 1.5 v 0.1 v, t a = 0c to +70c) 192-word table 5-105 1-port ram and 2-port ram read-cycle ac characteristics table l3j0c008/l3k0c008 l3j0c010/l3k0c010 l3j0c018/l3k0c018 l3j0c020/l3k0c020 parameter symbol min. max. min. max. min. max. min. max. unit access time t acs , t acc ? 23.079 ? 23.309 ? 23.545 ? 23.775 read-cycle time t rcy 23.079 ? 23.309 ? 23.545 ? 23.775 ? clock high pulse width t ckh 0.500 ? 0.500 ? 0.500 ? 0.500 ? clock low pulse width t ckl 0.500 ? 0.500 ? 0.500 ? 0.500 ? xcs setup time t css 2.800 ? 2.800 ? 2.800 ? 2.800 ? xcs hold time t csh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xwe setup time t wes 2.800 ? 2.800 ? 2.800 ? 2.800 ? xwe hold time t weh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xrb setup time t rbs 2.800 ? 2.800 ? 2.800 ? 2.800 ? xrb hold time t rbh 0.000 ? 0.000 ? 0.000 ? 0.000 ? address setup time t as 2.800 ? 2.800 ? 2.800 ? 2.800 ? address hold time t ah 0.000 ? 0.000 ? 0.000 ? 0.000 ? output hold time t oh 1.948 ? 1.986 ? 2.017 ? 2.058 ? ns table 5-106 1-port ram and 2-port ram write- cycle ac characteristics table l3j0c008/l3k0c008 l3j0c010/l3k0c010 l3j0c018/l3k0c018 l3j0c020/l3k0c020 parameter symbol min. max. min. max. min. max. min. max. unit write-cycle time t wcy 11.787 ? 12.151 ? 12.483 ? 12.794 ? clock high pulse width t ckh 0.500 ? 0.500 ? 0.500 ? 0.500 ? clock low pulse width t ckl 0.500 ? 0.500 ? 0.500 ? 0.500 ? xcs setup time t css 2.800 ? 2.800 ? 2.800 ? 2.800 ? xcs hold time t csh 0.000 ? 0.000 ? 0.000 ? 0.000 ? address setup time t as 2.800 ? 2.800 ? 2.800 ? 2.800 ? xwe setup time t wes 2.800 ? 2.800 ? 2.800 ? 2.800 ? xwe hold time t weh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xwa setup time t was 2.800 ? 2.800 ? 2.800 ? 2.800 ? xwa hold time t wah 0.000 ? 0.000 ? 0.000 ? 0.000 ? address hold time t ah 0.000 ? 0.000 ? 0.000 ? 0.000 ? data hold time t dh 0.000 ? 0.000 ? 0.000 ? 0.000 ? data setup time t ds 2.800 ? 2.800 ? 2.800 ? 2.800 ? write-data hold time t wdh 3.925 ? 4.036 ? 4.151 ? 4.261 ? write-data through time t wdt ? 11.787 ? 12.151 ? 12.483 ? 12.794 ns
chapter 5 memory blocks standard cell s1k70000 series epson 145 embedded array S1X70000 series 16) 1.5-v specification (v dd = 1.5 v 0.1 v, t a = 0c to +70c) 256-word table 5-107 1-port ram and 2-port ram read-cycle ac characteristics table l3j10008/l3k10008 l3j10010/l3k10010 l3j10018/l3k10018 l3j10020/l3k10020 parameter symbol min. max. min. max. min. max. min. max. unit access time t acs , t acc ? 27.517 ? 27.737 ? 27.957 ? 28.176 read-cycle time t rcy 27.517 ? 27.737 ? 27.957 ? 28.176 ? clock high pulse width t ckh 0.500 ? 0.500 ? 0.500 ? 0.500 ? clock low pulse width t ckl 0.500 ? 0.500 ? 0.500 ? 0.500 ? xcs setup time t css 2.800 ? 2.800 ? 2.800 ? 2.800 ? xcs hold time t csh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xwe setup time t wes 2.800 ? 2.800 ? 2.800 ? 2.800 ? xwe hold time t weh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xrb setup time t rbs 2.800 ? 2.800 ? 2.800 ? 2.800 ? xrb hold time t rbh 0.000 ? 0.000 ? 0.000 ? 0.000 ? address setup time t as 2.800 ? 2.800 ? 2.800 ? 2.800 ? address hold time t ah 0.000 ? 0.000 ? 0.000 ? 0.000 ? output hold time t oh 1.977 ? 2.012 ? 2.048 ? 2.083 ? ns table 5-108 1-port ram and 2-port ram write- cycle ac characteristics table l3j10008/l3k10008 l3j10010/l3k10010 l3j10018/l3k10018 l3j10020/l3k10020 parameter symbol min. max. min. max. min. max. min. max. unit write-cycle time t wcy 11.919 ? 12.272 ? 12.612 ? 12.961 ? clock high pulse width t ckh 0.500 ? 0.500 ? 0.500 ? 0.500 ? clock low pulse width t ckl 0.500 ? 0.500 ? 0.500 ? 0.500 ? xcs setup time t css 2.800 ? 2.800 ? 2.800 ? 2.800 ? xcs hold time t csh 0.000 ? 0.000 ? 0.000 ? 0.000 ? address setup time t as 2.800 ? 2.800 ? 2.800 ? 2.800 ? xwe setup time t wes 2.800 ? 2.800 ? 2.800 ? 2.800 ? xwe hold time t weh 0.000 ? 0.000 ? 0.000 ? 0.000 ? xwa setup time t was 2.800 ? 2.800 ? 2.800 ? 2.800 ? xwa hold time t wah 0.000 ? 0.000 ? 0.000 ? 0.000 ? address hold time t ah 0.000 ? 0.000 ? 0.000 ? 0.000 ? data hold time t dh 0.000 ? 0.000 ? 0.000 ? 0.000 ? data setup time t ds 2.800 ? 2.800 ? 2.800 ? 2.800 ? write-data hold time t wdh 4.019 ? 4.134 ? 4.251 ? 4.356 ? write-data through time t wdt ? 11.919 ? 12.272 ? 12.612 ? 12.961 ns
chapter 5 memory blocks 146 epson standard cell s1k70000 series embedded array S1X70000 series 5.1.7 power consumption of ram tables 5-109 through 5-120 list the power-cons umption values for the primary products of basic cell-type rams in the s1k/S1X70000 series. for the power-consumption values of basic cell-type rams not shown here, use those of the ram with the most similar configuration in the s1k/S1X70000 series. if more detailed power-consumption values are required, use the calculation formula shown below. note that ?w? and ?b? in each calculation formula denote the number of words and the number of bits, respectively. (1) standard-1 type 1) 1-port ram ? for operation with v dd = 1.8 v (-5 x 10 -5 x w 2 + 0.0254 x w + 5.97 + (0.0051 x w + 0.755) x b) x 1.8 [w/mhz] table 5-109 typical power-consumption values for standard-1 1-port rams operating at 1.8 v 64 word 128 word 192 word 256 word unit 8 bit 28.88 35.40 41.18 46.22 16 bit 44.45 55.67 66.15 75.90 24 bit 60.02 75.94 91.12 105.57 32 bit 75.59 96.21 116.10 135.24 w/mhz ? for operation with v dd = 1.5 v (-4 x 10 -5 x w 2 + 0.0204 x w + 4.74 + (0.0043 x w + 0.6) x b) x 1.5 [w/mhz] table 5-110 typical power-consumption values for standard-1 1-port rams operating at 1.5 v 64 word 128 word 192 word 256 word unit 8 bit 19.33 23.85 27.88 31.42 16 bit 29.83 37.65 44.99 51.83 24 bit 40.33 51.46 62.09 72.24 32 bit 50.83 65.26 79.20 92.65 w/mhz 2) 2-port ram ? for operation with v dd = 1.8 v (-1 x 10 -4 x w 2 + 0.0508 x w + 11.88 + (0.0102 x w + 1.51) x b) x 1.8 [w/mhz] table 5-111 typical power-consumption values for standard-1 2-port rams operating at 1.8 v 64 word 128 word 192 word 256 word unit 8 bit 57.75 70.79 82.36 92.45 16 bit 88.90 111.34 132.30 151.79 24 bit 120.04 151.88 182.25 211.14 32 bit 151.18 192.43 232.19 270.49 w/mhz
chapter 5 memory blocks standard cell s1k70000 series epson 147 embedded array S1X70000 series ? for operation with v dd = 1.5 v (-8 x 10 -5 x w 2 + 0.0408 x w + 9.48 + (0.0086 x w + 1.2) x b) x 1.5 [w/mhz] table 5-112 typical power-consumption values for standard-1 2-port rams operating at 1.5 v 64 word 128 word 192 word 256 word unit 8 bit 38.65 47.70 55.76 62.84 16 bit 59.65 75.31 89.98 103.66 24 bit 80.66 102.92 124.19 144.48 32 bit 101.66 130.53 158.40 185.30 w/mhz (2) high-performance type 1) 1-port ram ? for operation with v dd = 1.8 v (-8 x 10 -5 x w 2 + 0.0406 x w + 6.377 + (0.0052 x w + 1.2235) x b) x 1.8 [w/mhz] table 5-113 typical power-consumption values for high-performance 1-port rams operating at 1.8 v 64 word 128 word 192 word 256 word unit 8 bit 37.98 45.68 52.20 57.54 16 bit 60.39 72.88 84.19 94.33 24 bit 82.80 100.08 116.19 131.11 32 bit 105.21 127.29 148.18 167.90 w/mhz ? for operation with v dd = 1.5 v (-5 x 10 -5 x w 2 + 0.0287 x w + 5.3405 + (0.0049 x w + 0.087) x b) x 1.5 [w/mhz] table 5-114 typical power-consumption values for high-performance 1-port rams operating at 1.5 v 64 word 128 word 192 word 256 word unit 8 bit 15.27 20.86 25.85 30.21 16 bit 20.07 29.43 38.18 46.31 24 bit 24.88 38.00 50.51 62.41 32 bit 29.69 46.57 62.85 78.50 w/mhz
chapter 5 memory blocks 148 epson standard cell s1k70000 series embedded array S1X70000 series 2) 2-port ram ? for operation with v dd = 1.8 v (-1.6 x 10 -4 x w 2 + 0.0812 x w + 12.754 + (0.0104 x w + 2.447) x b) x 1.8 [w/mhz] table 5-115 typical power-consumption values for high-performance 2-port rams operating at 1.8 v 64 word 128 word 192 word 256 word unit 8 bit 75.95 91.35 104.39 115.08 16 bit 120.77 145.76 168.38 188.65 24 bit 165.60 200.17 232.38 262.23 32 bit 210.42 254.57 296.37 335.80 w/mhz ? for operation with v dd = 1.5 v (-1 x 10 -4 x w 2 + 0.0574 x w + 10.681 + (0.0098 x w + 0.174) x b) x 1.5 [w/mhz] table 5-116 typical power-consumption values for high-performance 2-port rams operating at 1.5 v 64 word 128 word 192 word 256 word unit 8 bit 30.53 41.73 51.69 60.43 16 bit 40.15 58.87 76.36 92.62 24 bit 49.76 76.01 101.02 124.81 32 bit 59.38 93.15 125.69 157.01 w/mhz (3) low-leakage type 1) 1-port ram ? for operation with v dd = 1.8 v (-6 x 10 -5 x w 2 + 0.0267 x w + 7.2597 + (0.0057 x w + 0.6055) x b) x 1.8 [w/mhz] table 5-117 typical power-consumption values for low-leakage 1-port rams operating at 1.8 v 64 word 128 word 192 word 256 word unit 8 bit 29.67 36.68 42.79 48.02 16 bit 43.65 55.90 67.27 77.76 24 bit 57.62 75.13 91.75 107.49 32 bit 71.59 94.35 116.23 137.22 w/mhz
chapter 5 memory blocks standard cell s1k70000 series epson 149 embedded array S1X70000 series ? for operation with v dd = 1.5 v (-6 x 10 -5 x w 2 + 0.0289 x w + 4.8242 + (0.0055 x w + 0.4265) x b) x 1.5 [w/mhz] table 5-118 typical power-consumption values for low-leakage 1-port rams operating at 1.5 v 64 word 128 word 192 word 256 word unit 8 bit 18.98 24.88 30.03 34.45 16 bit 28.33 38.44 47.82 56.46 24 bit 37.67 52.01 65.61 78.48 32 bit 47.01 65.57 83.40 100.49 w/mhz 2) 2-port ram ? for operation with v dd = 1.8 v (-1.2 x 10 -4 x w 2 + 0.0534 x w + 14.5194 + (0.0114 x w + 1.211) x b) x 1.8 [w/mhz] table 5-119 typical power-consumption values for low-leakage 2-port rams operating at 1.8 v 64 word 128 word 192 word 256 word unit 8 bit 59.35 73.35 85.58 96.05 16 bit 87.29 111.80 134.54 155.51 24 bit 115.24 150.25 183.50 214.98 32 bit 143.18 188.70 232.46 274.44 w/mhz ? for operation with v dd = 1.5 v (-1.2 x 10 -4 x w 2 + 0.0578 x w + 9.6484 + (0.011 x w + 0.853) x b) x 1.5 [w/mhz] table 5-120 typical power-consumption values for low-leakage 2-port rams operating at 1.5 v 64 word 128 word 192 word 256 word unit 8 bit 37.97 49.75 60.06 68.90 16 bit 56.65 76.89 95.64 112.93 24 bit 75.34 104.02 131.22 156.96 32 bit 94.02 131.15 166.80 200.98 w/mhz
chapter 5 memory blocks 150 epson standard cell s1k70000 series embedded array S1X70000 series 5.2 high-density-type 1-port ram 5.2.1 features ? this type of ram is exclusively designed as 1-port ram in order to reduce the area it occupies. ? can be configured in a wide range of memory capacities (128 to 64k bits), and provides superior flexibility for selection of the height-to-width ratio of the layout shape. furthermore, if large-capacity memory is required, multiple pieces of memory macros may be used. ? can be accessed at high speed and consumes less current than other rams of the same class. ? the chip-select, write-enable, byte write-enable, address, and data-input/output parts contain a latch circuit, making the ram capable of clock-synchronized, high-speed operation. ? the data-input port and data-output port are separate. ? a byte write function is included, allowing the bits of write data to be selected in byte units. ? the data-output part contains a latch circuit, so that readout data is output continuously until the next read cycle. ? libraries are available that use standard-1-type transistors. 5.2.2 ram sizes the sizes of high-density-type 1-port rams vary in a complicated manner depending on the word/bit configurations. for detailed information on ram sizes, please contact the sales division of epson. 5.2.3 input signals and block diagrams memory cell array column decoder row decoder address buffer data i/o buffer control a0 a1 an ck xcs y0 xwe d0 yn dn xbwe0 xbwen figure 5-3 block diagram of the high-density-type 1-port ram
chapter 5 memory blocks standard cell s1k70000 series epson 151 embedded array S1X70000 series table 5-121 description of high-density-type 1-port ram signals input/output signal symbol name functional description ck clock input chip select (xcs), write enable (xwe), byte write enable (xbwen), address input (a0?an), and data input (d0?dn) are latched into the rising edge (low-to-high transition) of the clock input (ck). memory is activated when the latched chip select signal is low. while memory is active, data is written to memory when the latched write-enable signal is low, or read from memory when the signal is high. operation finishes on the next fall of the clock. xcs chip select latched into the rising edge of the clock input (ck). when the latched value is low, memory is activated. xwe write enable latched into the rising edge of the clock input (ck). memory is activated for write operation when the latched value is low, or for read operation when the latched value is high. xbwen byte write enable latched into the rising edge of the clock input (ck). each byte of data is assigned one byte write-enable signal. only data bytes with low byte write enable (xbwen) when write enable (xwe) is low, are written to memory. xbwe0 for d0?d7 xbwe1 for d8?d15 xbwe2 for d16?d23 xbwe3 for d24?d31 a0?an address input latched into the rising edge of the clock input (ck). d0?dn data input the write data is latched into the rising edge of the clock input (ck) and written to memory cells. y0?yn data output during reading, the data from memory cells is output a finite access time after the rising edge of the cloc k input (ck). during writing, the latched write data is output from these pins.
chapter 5 memory blocks 152 epson standard cell s1k70000 series embedded array S1X70000 series 5.2.4 truth table of device operation for writing, assert chip select (xcs), write enable (xwe), and byte write enable (xbwe0?xbwe3) (by pulling them low), and set the address inputs (a0?an) and data inputs (d0?dn) before the clock input (ck) goes high. all of the chip-select, write-enable, byte write-enable, address-input, and data-input signals are latched into the rising edge of the clock input, at which time memory is activated for write operation. during this period, the data being written is output from the data-output pins (y0?yn). the write operation finishes at the fall of the clock, with the input signals unlatched and the memory placed in standby state. for reading, assert chip select (xcs) and deassert write enable (xwe) (by pulling xcs low and xwe high), and set the address inputs (a0?an) before the clock input (ck) goes high. all of the chip-select, write-enable, and address-input, and data-input signals are latched into the rising edge of the clock input, at which time memory is activated for read operation. during this period, data is output from the data-output pins (y0?yn) a finite access time after the rise of th e clock. the read operation finishes at the fall of the clock, with the input signals unlatched and the memory placed in standby state. for either reading or writing, data appears at the data-output pins even after the operation has been completed and the memory is placed in standby state. table 5-122 truth table of high-density-type 1-port ram operation ck xcs xwe xbwe0 xbwe1 xbwe2 xbwe3 write output state operation mode l x x x x x x ? data hold standby l h l h x x x x ? read data read l h l l l l l l d0-d31 write data write all bytes l h l l l h h h d0-d7 write data (*1) write 1st byte l h l l h l h h d8-d15 write data (*1) write 2nd byte l h l l h h l h d16-d23 write data (*1) write 3rd byte l h l l h h h l d24-d31 write data (*1) write 4th byte l h l l h h h h ? write data (*1) unable to write l h h x x x x x ? data hold standby h l x x x x x x ? data hold standby note *1: the state of the data outputs (y0?yn) reflects the values supplied to the data inputs (d0?dn). however, only the data bytes selected using by te write enable (xbwe0?xbwe3) are written to memory. data bytes unselected using byte write enable are not written to memory.
chapter 5 memory blocks standard cell s1k70000 series epson 153 embedded array S1X70000 series 5.2.5 timing charts ? during reading a ddress ck xcs xwe xbwen data out t cyc t css t oh read standby standby stable t as t ah t ckl t ckh t csh t wes t weh t ack old data valid data ? during writing a ddress ck xcs xwe xbwen data out data in t wes write standby standby stable t cyc t a s t ah t ckl t ckh t css t csh t weh t bwes t bweh t ds t dh t wdh t wdt old data through data stable
chapter 5 memory blocks 154 epson standard cell s1k70000 series embedded array S1X70000 series 5.2.6 electrical characteristics 5.2.6.1 ac characteristics table 5-123 electrical characteristics (memory configuration: 4k words x 16 data) v dd = 1.8 v 0.15 v t a = -40 to +85 c v dd = 1.5 v 0.10 v t a = -40 to +85 c parameter symbol min. typ. max. min. typ. max. unit clock frequency f c ? ? 142 ? ? 98 mhz ck access time t ack ? 3.3 5.4 ? 4.7 8.0 ns ck high pulse width t ckh 3.5 ? ? 5.1 ? ? ns ck low pulse width t ckl 2.9 ? ? 3.9 ? ? ns cycle time t cyc 7.0 ? ? 10.2 ? ? ns cs setup time t css 2.8 ? ? 3.6 ? ? ns cs hold time t csh 0.0 ? ? 0.0 ? ? ns address setup time t as 2.8 ? ? 3.6 ? ? ns address hold time t ah 0.0 ? ? 0.0 ? ? ns we setup time t wes 2.8 ? ? 3.6 ? ? ns we hold time t weh 0.0 ? ? 0.0 ? ? ns bwe setup time t bwes 2.8 ? ? 3.6 ? ? ns bwe hold time t bweh 0.0 ? ? 0.0 ? ? ns output hold time t oh 0.6 ? ? 0.8 ? ? ns data setup time t ds 2.8 ? ? 3.6 ? ? ns data hold time t dh 0.0 ? ? 0.0 ? ? ns write-data hold time t wdh 0.2 ? ? 0.2 ? ? ns write data through time t wdt ? ? 1.3 ? ? 2.8 ns
chapter 5 memory blocks standard cell s1k70000 series epson 155 embedded array S1X70000 series v dd = 1.8 v 0.15 v t a = 0 to +70 c v dd = 1.5 v 0.10 v t a = 0 to +70 c parameter symbol min. typ. max. min. typ. max. unit clock frequency f c ? ? 156 ? ? 100 mhz ck access time t ack ? 3.3 5.3 ? 4.7 7.9 ns ck high pulse width t ckh 3.2 ? ? 5.0 ? ? ns ck low pulse width t ckl 2.8 ? ? 3.8 ? ? ns cycle time t cyc 6.4 ? ? 10.0 ? ? ns cs setup time t css 2.6 ? ? 3.5 ? ? ns cs hold time t csh 0.0 ? ? 0.0 ? ? ns address setup time t as 2.6 ? ? 3.5 ? ? ns address hold time t ah 0.0 ? ? 0.0 ? ? ns we setup time t wes 2.6 ? ? 3.5 ? ? ns we hold time t weh 0.0 ? ? 0.0 ? ? ns bwe setup time t bwes 2.6 ? ? 3.5 ? ? ns bwe hold time t bweh 0.0 ? ? 0.0 ? ? ns output hold time t oh 0.4 ? ? 0.8 ? ? ns data setup time t ds 2.6 ? ? 3.5 ? ? ns data hold time t dh 0.0 ? ? 0.0 ? ? ns write-data hold time t wdh 0.2 ? ? 0.2 ? ? ns write data through time t wdt ? ? 1.8 ? ? 2.8 ns 5.2.7 power consumption the power consumption of high-density-type 1- port rams varies in a complicated manner depending on the word/bit configurations. for detailed information on the power consumption, please contact the sales division of epson.
chapter 5 memory blocks 156 epson standard cell s1k70000 series embedded array S1X70000 series 5.3 high-density-type dual-port ram 5.3.1 features ? this type of ram is exclusively designed as dual-port ram in order to reduce the area that it occupies. ? can be configured in a wide range of memory capacities (1k to 64k bits), and provides superior flexibility for selection of the he ight-to-width ratio of the layout shape. furthermore, if large-capacity memory is required, multiple pieces of memory macros may be used. ? can be accessed at high speed and consumes less current than other rams of the same class. ? the chip-select, write-enable, byte write-enable, address, and data-input/output parts contain a latch circuit, making the ram capable of clock-synchronized, high-speed operation. ? the data-input port and data-output port are separated. ? a byte write function is included, allowing the bits of write data to be selected in byte units. ? the data-output part contains a latch circ uit, so that readout data is output continuously until the next read cycle. ? libraries are available that use standard-1-type transistors. 5.3.2 ram sizes the sizes of high-density-type dual-port rams vary in a complicated manner depending on the word/bit configurations. for detailed information on ram sizes, please contact the sales division of epson. 5.3.3 input signals and block diagrams ports 1 and 2 are each capable of performing read and write operations. each port comes equipped with a clock input pin, allowing them to be operated with different frequencies or timing independently of each other. be aware that no memory cells can be accessed from two ports at the same time. if arbitration facilities, busy signals, or the like are required to resolve conflicts, configure a necessary circuit in the gate-array section extern al to the macro. (if accessed at the same time, the read or write operation in that cycle and the data in the accessed memory cell become indeterminate.)
chapter 5 memory blocks standard cell s1k70000 series epson 157 embedded array S1X70000 series table 5-124 description of high-density-type dual-port ram signals port-1 signals (read/write) input/output signal symbol name functional description cka clock input chip select (xcsa), write enable (xwea), byte write enable (xbwean), address input (aa0? aan), and data input (da0?dan) are latched into the rising edge (low-to-high transition) of the clock input (cka). memory is activated when the latched chip-select signal is low. while the memory is active, data is written to memory when the latched write-enable signal is low or read from memory when the signal is high. operation finishes on the next fall of the clock. xcsa chip select latched into the rising edge of the clock input (cka). memory is activated when the latched value is low. xwea write enable latched into the rising edge of the clock input (cka). memory is activated for write operation when the latched value is low or for read operation when the latched value is high. xbwean byte write enable latched into the rising edge of the clock input (cka). each byte of data is assigned one byte write-enable signal. only data bytes with low byte write enable (xbwean) when write enable (xwea) is low are written to memory. xbwea0 for da0?da7 xbwea1 for da8?da15 xbwea2 for da16?da23 xbwea3 for da24?da31 aa0?aan address input latched into the rising edge of the clock input (cka). da0?dan data input the write data is latched into the rising edge of the clock input (cka) and written to memory cells. ya0?yan data output during reading, the data from memory cells is output a finite access time after the rising edge of the cloc k input (cka). during writing, the latched write data is output from these pins.
chapter 5 memory blocks 158 epson standard cell s1k70000 series embedded array S1X70000 series port-2 signals (read/write) input/output signal symbol name functional description ckb clock input chip select (xcsb), write enable (xweb), byte write enable (xbwebn), address input (ab0? abn), and data input (db0?dbn) are latched into the rising edge (low-to-high transition) of the clock input (ckb). memory is activated when the latched chip-select signal is low. while the memory is active, data is written to memory when the latched write-enable signal is low or read from memory when the signal is high. operation finishes on the next fall of the clock. xcsb chip select latched into the rising edge of the clock input (ckb). memory is activated when the latched value is low. xweb write enable latched into the rising edge of the clock input (ckb). memory is activated for write operation when the latched value is low or for read operation when the latched value is high. xbwebn byte write enable latched into the rising edge of the clock input (ckb). each byte of data is assigned one byte write-enable signal. only data bytes with low byte write enable (xbwebn) when write enable (xweb) is low are written to memory. xbweb0 for db0?db7 xbweb1 for db8?db15 xbweb2 for db16?db23 xbweb3 for db24?db31 ab0?abn address input latched into the rising edge of the clock input (ckb). db0?dbn data input the write data is latched into the rising edge of the clock input (ckb) and written to memory cells. yb0?ybn data output during reading, the data from memory cells is output a finite access time after the rising edge of the cloc k input (ckb). during writing, the latched write data is output from these pins.
chapter 5 memory blocks standard cell s1k70000 series epson 159 embedded array S1X70000 series memory cell array colu mn dec oder row dec oder address buffer data i/o buffer contr ol aa 0 aa 1 aa n cka xcsa xwea colu mn dec oder data i/o buffer row dec oder address buffer contr ol ab0 ab1 abn ckb xcsb xweb port2 control port1 control figure 5-4 block diagram of high-density-type dual-port ram 5.3.4 truth table of device operation for writing, assert chip select (xcsa or xcsb), write enable (xwea or xweb), and byte write enable (xbwea0?xbwea3 or xbweb0?xbweb3) (by pulling them low), and set the address inputs (aa0?aan or ab0?abn) and data inputs (da0?dan or db0?dbn) before the clock input (cka or ckb) goes high. all of the chip-select, write-enable, byte write-enable, address-input, and data-input signals are latched into the rising edge of the clock input, at which time memory is activated for write operation. during this period, the data being written is output from the data-output pins (ya0?yan or yb0?ybn). the write operation finishes at the fall of the clock, with the input signals unlatched and the memory placed in standby state. for reading, assert chip select (xcsa or xcsb) and deassert write enable (xwea or xweb) (by pulling xcsa or xcsb low and xwea or xweb high), and set the address inputs (aa0?aan or ab0?abn) before the clock input (cka or ckb) goes high. all of the chip-select, write-enable, and address-input signals are latched into the rising edge of the clock input, at which time memory is activated for read operation. during this period, data is output from the output pins (ya0?yan or yb0?ybn) a finite access time after the rise of the clock. the read operation finish es at the fall of the clock, with the input signals unlatched and the memory placed in standby state. for either read or write, data appears at the data-output pins even after the operation has completed and the memory is placed in standby state. ya0 da0 yan dan xbwea0 xbwean yb0 db0 ybn dbn xbweb0 xbwebn
chapter 5 memory blocks 160 epson standard cell s1k70000 series embedded array S1X70000 series table 5-125 truth table of high-density-type dual-port ram operation port-1 truth table cka xcsa xwea xbwea0 xbwea1 xbwea2 xbwea3 write output state operation mode l x x x x x x ? data hold standby l h l h x x x x ? read data read l h l l l l l l da0-da31 write data write all bytes l h l l l h h h da0-da7 write data (*1) write 1st byte l h l l h l h h da8-da15 write data (*1) write 2nd byte l h l l h h l h da16-da23 write data (*1) write 3rd byte l h l l h h h l da24-da31 write data (*1) write 4th byte l h l l h h h h ? write data (*1) unable to write l h h x x x x x ? data hold standby h l x x x x x x ? data hold standby port-2 truth table ckb xcsb xweb xbweb0 xbweb1 xbweb2 xbweb3 write output state operation mode l x x x x x x ? data hold standby l h l h x x x x ? read data read l h l l l l l l db0-db31 write data write all bytes l h l l l h h h db0-db7 write data (*1) write 1st byte l h l l h l h h db8-db15 write data (*1) write 2nd byte l h l l h h l h db16-db23 write data (*1) write 3rd byte l h l l h h h l db24-db31 write data (*1) write 4th byte l h l l h h h h ? write data (*1) unable to write l h h x x x x x ? data hold standby h l x x x x x x ? data hold standby note *1: the state of the data outputs (ya0?yan or yb0?ybn) reflects the values supplied to the data inputs (da0?dan or db0?dbn). however, only the data bytes selected using byte write enable (xbwea0?xbwea3 or xbweb0?xbweb3) are written to memory. da ta bytes unselected using byte write enable are not written to memory.
chapter 5 memory blocks standard cell s1k70000 series epson 161 embedded array S1X70000 series 5.3.5 timing charts (1) 1-port ram ? during reading a ddress cka xcs a xwe a xbwean data out read standby standby t ckl stable t a s t a h t cyc t ckh t css t csh t wes t weh t ack old data valid data t oh ? during writing address cka x csa x wea x bwean data out data in write standby standby t ckl stable t a s t ah t cyc t ckh t css t csh t wes t weh t bwes t bweh t wdh t wdt old data through data t ds t dh stable
chapter 5 memory blocks 162 epson standard cell s1k70000 series embedded array S1X70000 series (2) dual-port ram ? port 1 a ddress ckb xcsb xweb xbwebn data out read standby standby t ckl stable t as t ah t cyc t ckh t css t csh t wes t weh t ack old data valid data t oh ? port 2 a ddress ckb xcsb x web x bwebn data out data in write standby standby t ckl stable t a s t ah t cyc t ckh t css t csh t wes t weh t bwes t bw eh t wdh t wdt old data through data t ds t dh stable
chapter 5 memory blocks standard cell s1k70000 series epson 163 embedded array S1X70000 series 5.3.6 electrical characteristics 5.3.6.1 ac characteristics table 5-126 electrical characteristics (memory configuration: 4k words x 16 data) v dd = 1.8 v 0.15 v t a = -40 to +85 c v dd = 1.5 v 0.10 v t a = -40 to +85 c parameter symbol min. typ. max. min. typ. max. unit clock frequency f c ? ? 138 ? ? 94 mhz ck access time t ack ? 3.5 5.9 ? 3.7 9.0 ns ck high pulse width t ckh 3.6 ? ? 5.3 ? ? ns ck low pulse width t ckl 3.6 ? ? 4.9 ? ? ns cycle time t cyc 7.2 ? ? 10.6 ? ? ns cs setup time t css 2.8 ? ? 3.6 ? ? ns cs hold time t csh 0.0 ? ? 0.0 ? ? ns address setup time t as 2.8 ? ? 3.6 ? ? ns address hold time t ah 0.0 ? ? 0.0 ? ? ns we setup time t wes 2.8 ? ? 3.6 ? ? ns we hold time t weh 0.0 ? ? 0.0 ? ? ns bwe setup time t bwes 2.8 ? ? 3.6 ? ? ns bwe hold time t bweh 0.0 ? ? 0.0 ? ? ns output hold time t oh 0.5 ? ? 0.8 ? ? ns data setup time t ds 2.8 ? ? 3.6 ? ? ns data hold time t dh 0.0 ? ? 0.0 ? ? ns write-data hold time t wdh 0.2 ? ? 0.2 ? ? ns write data through time t wdt ? ? 2.1 ? ? 3.5 ns
chapter 5 memory blocks 164 epson standard cell s1k70000 series embedded array S1X70000 series v dd = 1.8 v 0.15 v t a = 0 to +70 c v dd = 1.5 v 0.10 v t a = 0 to +70 c parameter symbol min. typ. max. min. typ. max. unit clock frequency f c ? ? 142 ? ? 96 mhz ck access time t ack ? 3.5 5.7 ? 5.0 8.7 ns ck high pulse width t ckh 3.3 ? ? 5.2 ? ? ns ck low pulse width t ckl 3.5 ? ? 4.7 ? ? ns cycle time t cyc 7.0 ? ? 10.4 ? ? ns cs setup time t css 2.6 ? ? 3.5 ? ? ns cs hold time t csh 0.0 ? ? 0.0 ? ? ns address setup time t as 2.6 ? ? 3.5 ? ? ns address hold time t ah 0.0 ? ? 0.0 ? ? ns we setup time t wes 2.6 ? ? 3.5 ? ? ns we hold time t weh 0.0 ? ? 0.0 ? ? ns bwe setup time t bwes 2.6 ? ? 3.5 ? ? ns bwe hold time t bweh 0.0 ? ? 0.0 ? ? ns output hold time t oh 0.5 ? ? 0.8 ? ? ns data setup time t ds 2.6 ? ? 3.5 ? ? ns data hold time t dh 0.0 ? ? 0.0 ? ? ns write-data hold time t wdh 0.2 ? ? 0.2 ? ? ns write data through time t wdt ? ? 2.1 ? ? 3.5 ns 5.3.7 power consumption the power consumption of high-density-type dual-port rams varies in a complicated manner depending on the word/bit configurations. for detailed information on the power consumption, please contact the sales division of epson.
chapter 5 memory blocks standard cell s1k70000 series epson 165 embedded array S1X70000 series 5.4 large-capacity-type 1-port ram 5.4.1 features ? for this type of ram, the circuit and layout pattern are exclusively designed as 1-port ram in order to reduce the area that the ram occupies. ? can be accessed at high speed and consumes less current than other rams of the same class. ? the chip-select, write-enable, address, data and byte write-enable input parts contain a latch circuit, making the ram capable of clock-synchronized, high-speed operation. ? the data-input port and data-output port are separated. ? a byte-write function is included, allowing the bits of write data to be selected in byte units. ? the data-output part contains a latch circuit, allowing readout data to be output continuously until the next read cycle. ? libraries are available that use standard-1-type transistors. 5.4.2 ram sizes the sizes of large-capacity-type 1-port rams vary in a complicated manner, depending on the word/bit configurations. for detailed information on ram sizes, please contact the sales division of epson.
chapter 5 memory blocks 166 epson standard cell s1k70000 series embedded array S1X70000 series 5.4.3 input/output signals and block diagrams table 5-127 description of large-capacity-type 1-port ram signals input/output signal symbol name functional description ck clock input chip select (xcs), write enable (xwe), byte write enable (xbwen), address input (a0?an), and data input (d0?dn) are latched into the rising edge (low-to-high transition) of the clock input (ck). memory is activated when the latched chip-select signal is low. while the memory is active, data is written to memory when the latched write-enable signal is low or read from memory when the signal is high. operation finishes on the next fall of the clock. xcs chip select latched into the rising edge of the clock input (ck). memory is activated when the latched value is low. xwe write enable latched into the rising edge of the clock input (ck). memory is activated for write operation when the latched value is low or for read operation when the latched value is high. xbwen byte write enable latched into the rising edge of the clock input (ck). each byte of data is assigned one byte write-enable signal. only data bytes with low xbwen when xwe is low are written to memory. xbwe0 for d0?d7 xbwe1 for d8?d15 xbwe2 for d16?d23 xbwe3 for d24?d31 a0?an address input latched into the rising edge of the clock input (ck). d0?dn data input the write data is latched into the rising edge of the clock input (ck) and written to memory cells. y0?yn data output during reading, the data from memory cells is output a finite access time after the rising edge of the cloc k input (ck). during writing, the latched write data is output from these pins.
chapter 5 memory blocks standard cell s1k70000 series epson 167 embedded array S1X70000 series memory cell array colu mn dec oder row dec oder address buff er data i/o buffer contr ol a0 a1 an ck xcs xwe figure 5-5 block diagram of the large-capacity-type 1-port ram 5.4.4 truth table of device operation for writing, assert chip select (xcs), write enable (xwe), and byte write enable (xbwe0?xbwe3) (by pulling them low), and set the address inputs (a0?an) and data inputs (d0?dn) before the clock input (ck) goes high. all of the chip-select, write-enable, byte write-enable, address-input, and data-input signals are latched into the rising edge of the clock input, at which time memory is activated for write operation. during this period, the data being written is output from the data-output pins (y0?yn). the write operation finishes at the fall of the clock, with the input signals unlatched and the memory placed in standby state. for reading, assert chip select (xcs) and deassert write enable (xwe) (by pulling xcs low and xwe high), and set the address inputs (a0?an) before the clock input (ck) goes high. all of the chip-select, write-enable, and address-input signals are latched into the rising edge of the clock input, at which time memory is activated for read operation. during this period, data is output from the data-output pins (y0?yn) a finite access time after the rise of the clock. the read operatio n finishes at the fall of the clock, with the input signals unlatched and the memory placed in standby state. for either read or write, data appears at the data-output pins even after the operation has completed and the memory is placed in standby state. y0 d0 yn dn xbwe0 xbwen
chapter 5 memory blocks 168 epson standard cell s1k70000 series embedded array S1X70000 series table 5-128 truth table of large-capacity-type 1-port ram operation ck xcs xwe xbwe0 xbwe1 xbwe2 xbwe3 write output state operation mode l x x x x x x ? data hold standby l h l h x x x x ? read data read l h l l l l l l d0-d31 write data write all bytes l h l l l h h h d0-d7 write data (*1) write 1st byte l h l l h l h h d8-d15 write data (*1) write 2nd byte l h l l h h l h d16-d23 write data (*1) write 3rd byte l h l l h h h l d24-d31 write data (*1) write 4th byte l h l l h h h h ? write data (*1) unable to write l h h x x x x x ? data hold standby h l x x x x x x ? data hold standby note *1: the state of data outputs (y0?yn) reflects the values supplied to data inputs (d0?dn). however, only the data bytes selected using by te write enable (xbwe0?xbwe3) are written to memory. data bytes unselected using byte write enable are not written to memory.
chapter 5 memory blocks standard cell s1k70000 series epson 169 embedded array S1X70000 series 5.4.5 timing charts ? during reading a ddress ck xcs xwe xbwen data out t cyc t css read standby standby stable t as t ah t ckl t ckh t csh t oh t wes t weh t ack old data valid data ? during writing a ddress ck xcs xwe xbwen data out data in write standby standby t ckl stable t a s t ah t cyc t ckh t css t csh t wes t weh t bwes t bweh t wdh t wdt old data through data t ds t dh stable
chapter 5 memory blocks 170 epson standard cell s1k70000 series embedded array S1X70000 series 5.4.6 electrical characteristics 5.4.6.1 ac characteristics table 5-129 electrical characteristics (memory configuration: 64k words x 16 data) v dd = 1.8 v 0.15 v t a = -40 to +85 c v dd = 1.5 v 0.10 v t a = -40 to +85 c parameter symbol min. typ. max. min. typ. max. unit clock frequency f c ? ? 46 ? ? 32 mhz ck access time t ack ? 8.3 14.4 ? 11.9 20.7 ns ck high pulse width t ckh 10.7 ? ? 15.2 ? ? ns ck low pulse width t ckl 2.9 ? ? 3.3 ? ? ns cycle time t cyc 21.4 ? ? 30.4 ? ? ns cs setup time t css 2.9 ? ? 3.8 ? ? ns cs hold time t csh 0.0 ? ? 0.0 ? ? ns address setup time t as 2.9 ? ? 3.8 ? ? ns address hold time t ah 0.0 ? ? 0.0 ? ? ns we setup time t wes 2.9 ? ? 3.8 ? ? ns we hold time t weh 0.0 ? ? 0.0 ? ? ns bwe setup time t bwes 2.9 ? ? 3.8 ? ? ns bwe hold time t bweh 0.0 ? ? 0.0 ? ? ns output hold time t oh 3.2 ? ? 4.6 ? ? ns data setup time t ds 2.9 ? ? 3.8 ? ? ns data hold time t dh 0.0 ? ? 0.0 ? ? ns write-data hold time t wdh 0.2 ? ? 0.5 ? ? ns write data through time t wdt ? ? 4.0 ? ? 6.1 ns
chapter 5 memory blocks standard cell s1k70000 series epson 171 embedded array S1X70000 series v dd = 1.8 v 0.15 v t a = 0 to +70 c v dd = 1.5 v 0.10 v t a = 0 to +70 c parameter symbol min. typ. max. min. typ. max. unit clock frequency f c ? ? 49 ? ? 33 mhz ck access time t ack ? 8.3 13.8 ? 11.9 20.0 ns ck high pulse width t ckh 10.2 ? ? 14.8 ? ? ns ck low pulse width t ckl 2.7 ? ? 3.1 ? ? ns cycle time t cyc 20.4 ? ? 29.6 ? ? ns cs setup time t css 2.7 ? ? 3.6 ? ? ns cs hold time t csh 0.0 ? ? 0.0 ? ? ns address setup time t as 2.7 ? ? 3.6 ? ? ns address hold time t ah 0.0 ? ? 0.0 ? ? ns we setup time t wes 2.7 ? ? 3.6 ? ? ns we hold time t weh 0.0 ? ? 0.0 ? ? ns bwe setup time t bwes 2.7 ? ? 3.6 ? ? ns bwe hold time t bweh 0.0 ? ? 0.0 ? ? ns output hold time t oh 3.2 ? ? 4.6 ? ? ns data setup time t ds 2.7 ? ? 3.6 ? ? ns data hold time t dh 0.0 ? ? 0.0 ? ? ns write-data hold time t wdh 0.2 ? ? 0.5 ? ? ns write data through time t wdt ? ? 3.9 ? ? 6.0 ns 5.4.7 power consumption the power consumption of large-capacity-type 1-port rams varies in a complicated manner, depending on the word/bit configurations. for detailed information on the power consumption, please contact the sales division of epson.
chapter 5 memory blocks 172 epson standard cell s1k70000 series embedded array S1X70000 series 5.5 high-density large-capacity-type 1-port ram 5.5.1 features ? for this type of ram, the size of the memory cells has been reduced and the layout pattern is exclusively designed in order to further reduce the area that the ram occupies. ? can be accessed at high speed and consumes less current than other rams of the same class. ? the chip-select, write-enable, address, data and byte write-enable input parts contain a latch circuit, making the ram capable of clock-synchronized, high-speed operation. ? the data-input port and data-output port are separated. ? a byte-write function is included, allowing the bits of write data to be selected in byte units. ? the data-output part contains a latch circuit, allowing readout data to be output continuously until the next read cycle. ? libraries are available that use standard-1-type transistors. 5.5.2 ram sizes the sizes of high-density large-capacity-typ e 1-port rams vary in a complicated manner, depending on the word/bit configurations. for detailed information on ram sizes, please contact the sales division of epson.
chapter 5 memory blocks standard cell s1k70000 series epson 173 embedded array S1X70000 series 5.5.3 input/output signals and block diagrams table 5-130 description of high-density large-capacity-type 1-port ram signals input/output signal symbol name functional description ck clock input chip select (xcs), write enable (xwe), byte write enable (xbwen), address input (a0?an), and data input (d0?dn) are latched into the rising edge (low-to-high transition) of the clock input (ck). memory is activated when the latched chip-select signal is low. while the memory is active, data is written to memory when the latched write-enable signal is low or read from memory when the signal is high. operation finishes on the next fall of the clock. xcs chip select latched into the rising edge of the clock input (ck). memory is activated when the latched value is low. xwe write enable latched into the rising edge of the clock input (ck). memory is activated for write operation when the latched value is low or for read operation when the latched value is high. xbwen byte write enable latched into the rising edge of the clock input (ck). each byte of data is assigned one byte write-enable signal. only data bytes with low xbwen when xwe is low are written to memory. xbwe0 for d0?d7 xbwe1 for d8?d15 xbwe2 for d16?d23 xbwe3 for d24?d31 a0?an address input latched into the rising edge of the clock input (ck). d0?dn data input the write data is latched into the rising edge of the clock input (ck) and written to memory cells. y0?yn data output during reading, the data from memory cells is output a finite access time after the rising edge of the cloc k input (ck). during writing, the latched write data is output from these pins.
chapter 5 memory blocks 174 epson standard cell s1k70000 series embedded array S1X70000 series memory cell array colu mn dec oder row dec oder address buff er data i/o buffer contr ol a0 a1 an ck xcs xwe figure 5-6 block diagram of the high-dens ity large-capacity-type 1-port ram 5.5.4 truth table of device operation for writing, assert chip select (xcs), write enable (xwe), and byte write enable (xbwe0?xbwe3) (by pulling them low), and set the address inputs (a0?an) and data inputs (d0?dn) before the clock input (ck) goes high. all of the chip-select, write-enable, byte write-enable, address-input, and data-input signals are latched into the rising edge of the clock input, at which time memory is activated for write operation. during this period, the data being written is output from the data-output pins (y0?yn). the write operation finishes at the fall of the clock, with the input signals unlatched and the memory placed in standby state. for reading, assert chip select (xcs) and deassert write enable (xwe) (by pulling xcs low and xwe high), and set the address inputs (a0?an) before the clock input (ck) goes high. all of the chip-select, write-enable, and address-input signals are latched into the rising edge of the clock input, at which time memory is activated for read operation. during this period, data is output from the data-output pins (y0?yn) a finite access time after the rise of the clock. the read operatio n finishes at the fall of the clock, with the input signals unlatched and the memory placed in standby state. for either read or write, data appears at the data-output pins even after the operation has completed and the memory is placed in standby state. y0 d0 yn dn xbwe0 xbwen
chapter 5 memory blocks standard cell s1k70000 series epson 175 embedded array S1X70000 series table 5-131 truth table of large-capacity-type 1-port ram operation ck xcs xwe xbwe0 xbwe1 xbwe2 xbwe3 write output state operation mode l x x x x x x ? data hold standby l h l h x x x x ? read data read l h l l l l l l d0-d31 write data write all bytes l h l l l h h h d0-d7 write data (*1) write 1st byte l h l l h l h h d8-d15 write data (*1) write 2nd byte l h l l h h l h d16-d23 write data (*1) write 3rd byte l h l l h h h l d24-d31 write data (*1) write 4th byte l h l l h h h h ? write data (*1) unable to write l h h x x x x x ? data hold standby h l x x x x x x ? data hold standby note *1: the state of data outputs (y0?yn) reflects the values supplied to data inputs (d0?dn). however, only the data bytes selected using by te write enable (xbwe0?xbwe3) are written to memory. data bytes unselected using byte write enable are not written to memory.
chapter 5 memory blocks 176 epson standard cell s1k70000 series embedded array S1X70000 series 5.5.5 timing charts ? during reading a ddress ck xcs xwe xbwen data out t cyc t css read standby standby stable t as t ah t ckl t ckh t csh t oh t wes t weh t ack old data valid data ? during writing a ddress ck xcs xwe xbwen data out data in write standby standby t ckl stable t a s t ah t cyc t ckh t css t csh t wes t weh t bwes t bweh t wdh t wdt old data through data t ds t dh stable
chapter 5 memory blocks standard cell s1k70000 series epson 177 embedded array S1X70000 series 5.5.6 electrical characteristics 5.5.6.1 ac characteristics table 5-132 electrical characteristics (memory configuration: 64k words x 16 data) v dd = 1.8 v 0.15 v t a = -40 to +85 c v dd = 1.5 v 0.10 v t a = -40 to +85 c parameter symbol min. typ. max. min. typ. max. unit clock frequency f c ? ? 79.3 ? ? 56.8 mhz ck access time t ack ? 5.7 9.3 ? 7.9 13.5 ns ck high pulse width t ckh 6.3 ? ? 8.8 ? ? ns ck low pulse width t ckl 6.3 ? ? 8.8 ? ? ns cycle time t cyc 12.6 ? ? 17.6 ? ? ns cs setup time t css 3.1 ? ? 4.0 ? ? ns cs hold time t csh 0 ? ? 0 ? ? ns address setup time t as 3.1 ? ? 4.0 ? ? ns address hold time t ah 0 ? ? 0 ? ? ns we setup time t wes 3.1 ? ? 4.0 ? ? ns we hold time t weh 0 ? ? 0 ? ? ns bwe setup time t bwes 3.1 ? ? 4.0 ? ? ns bwe hold time t bweh 0 ? ? 0 ? ? ns output hold time t oh 3.1 ? ? 4.2 ? ? ns data setup time t ds 3.1 ? ? 4.0 ? ? ns data hold time t dh 0 ? ? 0 ? ? ns write-data hold time t wdh 0.6 ? ? 0.9 ? ? ns write data through time t wdt ? ? 2.7 ? ? 3.9 ns
chapter 5 memory blocks 178 epson standard cell s1k70000 series embedded array S1X70000 series v dd = 1.8 v 0.15 v t a = 0 to +70 c v dd = 1.5 v 0.10 v t a = 0 to +70 c parameter symbol min. typ. max. min. typ. max. unit clock frequency f c ? ? 81.9 ? ? 57.5 mhz ck access time t ack ? 5.7 9.0 ? 7.9 13.1 ns ck high pulse width t ckh 6.1 ? ? 8.7 ? ? ns ck low pulse width t ckl 6.1 ? ? 8.7 ? ? ns cycle time t cyc 12.2 ? ? 17.4 ? ? ns cs setup time t css 3.0 ? ? 3.8 ? ? ns cs hold time t csh 0 ? ? 0 ? ? ns address setup time t as 3.0 ? ? 3.8 ? ? ns address hold time t ah 0 ? ? 0 ? ? ns we setup time t wes 3.0 ? ? 3.8 ? ? ns we hold time t weh 0 ? ? 0 ? ? ns bwe setup time t bwes 3.0 ? ? 3.8 ? ? ns bwe hold time t bweh 0 ? ? 0 ? ? ns output hold time t oh 3.1 ? ? 4.2 ? ? ns data setup time t ds 3.0 ? ? 3.8 ? ? ns data hold time t dh 0 ? ? 0 ? ? ns write-data hold time t wdh 0.6 ? ? 0.9 ? ? ns write data through time t wdt ? ? 2.6 ? ? 3.8 ns 5.5.7 power consumption the power consumption of large-capacity-type 1-port rams varies in a complicated manner, depending on the word/bit configurations. for detailed information on the power consumption, please contact the sales division of epson.
chapter 5 memory blocks standard cell s1k70000 series epson 179 embedded array S1X70000 series 5.6 rom 5.6.1 features ? exclusively designed as mask rom in order to reduce the area that it occupies ? because data is programmed into memory at nearly the end of the manufacturing process, tat can be reduced. ? can be accessed at high speed and consumes less current than other roms of the same class. ? can be configured in a wide range of memory capacities (1k to 512k bits), and provides superior flexibility for selection of the he ight-to-width ratio of the layout shape. furthermore, if large-capacity memory is required, multiple pieces of memory macros may be used. ? can operate with low voltage over a wide voltage range. ? the chip-select and address-input parts contain a latch circuit, making the rom capable of clock-synchronized, high-speed operation. ? the data-output part contains a latch circuit, allowing readout data to be output continuously until the next read cycle. ? libraries are available that use standard-1-type transistors. 5.6.2 rom sizes the rom sizes vary in a complicated manner depending on the word/bit configurations. for detailed information on rom sizes, please contact the sales division of epson. 5.6.3 input/output signals and block diagrams table 5-133 description of rom signals input/output signal symbol name functional description ck clock input chip select (xcs) and address input (a0?an) are latched into the rising edge (low-to-high transition) of the clock input (ck). when the latched chip-select signal is low, memory is activated for read operation. xcs chip select latched into the rising edge of the clock input (ck). when this latched value is low, memory is activated for read operation. a0?an address input latched into the rising edge of the clock input (ck). y0?yn data output the data readout from memory cells is output from these pins a finite access time after the rising edge of the clock input (ck).
chapter 5 memory blocks 180 epson standard cell s1k70000 series embedded array S1X70000 series memory cell array colu mn dec oder row dec oder address buffer data out buffer contr ol a0 a1 an ck xcs y0 y1 yn figure 5-7 block diagram of rom 5.6.4 truth table of device operation for reading, assert chip select (xcs) (by pulling it low), and set the address inputs (a0?an) before the clock input (ck) goes high. the chip-select and address-input signals are latched into the rising edge of the clock, at which time memory is activated for read operation. during this period, data is output from the data-output pins (y0?yn) a finite access time after the rise of th e clock. the read operation finishes at the fall of the clock, with the input signals unlatched and the memory placed in standby state. even after the read operation has completed and the memory is placed in standby state, data remains displayed at the data-output pins. table 5-134 truth table of rom operation ck xcs output state operation mode l x data hold standby l h l read data read l h h data hold standby h l x data hold standby
chapter 5 memory blocks standard cell s1k70000 series epson 181 embedded array S1X70000 series 5.6.5 timing charts ? during reading t oh address ck xcs data out t cyc t css read standby standby stable t a s t ah t ckl t ckh t csh t ack old data valid data
chapter 5 memory blocks 182 epson standard cell s1k70000 series embedded array S1X70000 series 5.6.6 electrical characteristics 5.6.6.1 ac characteristics table 5-135 electrical characteristics (memory configuration: 32k words x 16 data) v dd = 1.8 v 0.15 v t a = -40 to +85 c v dd = 1.5 v 0.10 v t a = -40 to +85 c parameter symbol min. typ. max. min. typ. max. unit clock frequency f c ? ? 67 ? ? 48 mhz ck access time t ack ? 5.1 8.2 ? 7.3 11.9 ns ck high pulse width t ckh 7.4 ? ? 10.3 ? ? ns ck low pulse width t ckl 1.4 ? ? 1.5 ? ? ns cycle time t cyc 14.8 ? ? 20.6 ? ? ns cs setup time t css 2.4 ? ? 2.9 ? ? ns cs hold time t csh 0.0 ? ? 0.0 ? ? ns address setup time t as 2.4 ? ? 2.9 ? ? ns address hold time t ah 0.0 ? ? 0.0 ? ? ns output hold time t oh 1.6 ? ? 2.4 ? ? ns v dd = 1.8 v 0.15 v t a = 0 to +70 c v dd = 1.5 v 0.10 v t a = 0 to +70 c parameter symbol min. typ. max. min. typ. max. unit clock frequency f c ? ? 70 ? ? 49 mhz ck access time t ack ? 5.1 7.9 ? 7.3 11.5 ns ck high pulse width t ckh 7.1 ? ? 10.1 ? ? ns ck low pulse width t ckl 1.4 ? ? 1.5 ? ? ns cycle time t cyc 14.2 ? ? 20.2 ? ? ns cs setup time t css 2.2 ? ? 2.8 ? ? ns cs hold time t csh 0.0 ? ? 0.0 ? ? ns address setup time t as 2.2 ? ? 2.8 ? ? ns address hold time t ah 0.0 ? ? 0.0 ? ? ns output hold time t oh 1.6 ? ? 2.4 ? ? ns 5.6.7 power consumption the power consumption of rom varies in a complicated manner depending on the word/bit configurations. for detailed information on the power consumption, please contact the sales division of epson.
chapter 5 memory blocks standard cell s1k70000 series epson 183 embedded array S1X70000 series 5.7 access to nonexistent addresses inhibited when some rams with an intermediate word configuration (e.g., 48 or 88 words) are used, there is a possibility of accessing nonexistent addresses. in the actual ic, if nonexistent addresses ar e accessed for reading, the target word lines do not exist and all word lines are turned off, resulting in all bit lines being placed in a floating state. for this reason, the following problems may occur: (1) because read operation is performed while all bit lines are left floating, all bits of ram output become ?indeterminate.? (2) because read operation is performed while all bit lines are left floating, a path is created in part of the circuit through whic h current can flow. although the amount of this current depends on the ram configuration and size, it causes the operating and quiescent currents of the entire ic to vary. therefore, we recommend that access to nonexistent addresses be inhibited. in logic simulation, the presence of nonexistent addresses is checked synchronously with the rising edge of the clock during read/write operation and, when access to any nonexistent address is attempted, a timing error is output.
chapter 6 estimating various characteristic values 184 epson standard cell s1k70000 series embedded array S1X70000 series chapter 6 estimating various characteristic values virtually no current flows through the chip of a cmos lsi when it is not in operation. however, during operation it consumes an amount of power corresponding to its operating frequency. the greater the power consumption, the higher the lsi chip temperature. an excessively high chip temperature adversely affects lsi quality. therefore, the power consumption of lsi chips must be calculated to verify whether it is within the range of the chip?s permissible power consumption. this chapter describes the procedure for calculating the power consumption of all chips in the s1k/S1X70000 series of products. 6.1 calculation of power consumption the power consumption of cmos circuits generally depends on the circuit?s operating frequency, load capacitance, and power-supply voltage (this does not include special products such as analog circuits in which a steady-state current flows in the chip). to calculate the power consumption of the entire chip, first find the power consumption of each block of the internal circuit, and then find the sum total for all blocks of the internal circuit. next, find the power consumption of the input and output buffers. the sum total of these is the total amount of power consumption to be obtained. the total amount of power consumption, p total , is calculated using the equation below. p total = p int + p i + p o where, p int : power consumption of the internal circuit p i : power consumption of the input buffers p o : power consumption of the output buffers 6.1.1 internal cells (p int ) because it is difficult to calculate the power consumption of the entire internal circuit area, here we calculate the power consumption of each circuit block and then define the sum total of all blocks as the power consumption of the internal circuit, p int . p int = p bc + p cb + p bm + p cm + p ip where, p bc : power consumption of the basic cell-type area p cb : power consumption of the cell-based-type area p bm : power consumption of the basic cell-type ram p cm : power consumption of the cell-based-type memory cell p ip : power consumption of the other circuit blocks 6.1.1.1 power consumption of the basic cell part (p bc ) or cell-based part (p cb ) the power consumption of the basic cell part (p bc ) or cell-based part (p cb ) is calculated using the equation below. p bc (or p cb ) = = k i 1 (nb x fi x spi x k pint ) [ w]
chapter 6 estimating various characteristic values standard cell s1k70000 series epson 185 embedded array S1X70000 series where, nb : total number of bcs in the circuit operating at fi [mhz] fi : operating frequency [mhz] spi : ratio of bcs to nb that are operating concurrently at fi [mhz] example: if all circuit blocks operate concurrently at fi [mhz], spi is 1.0; if 50% of the circuit blocks operate concurrently at fi [mhz], spi is 0.5. k pint : power consumption per bc table 6-1 lists the power-consumption values per bc (k pint ) in the s1k70000 series of products. table 6-1 power consumption per bc (k pint ) of the s1k/S1X70000 series v dd = 1.8 v v dd = 1.5 v type of transistor basic cell type cell based type basic cell type cell based type unit standard 1 0.077 0.054 0.058 0.040 standard 2 0.082 0.056 0.059 0.041 high-performance 0.090 0.058 0.060 0.042 low-leakage 0.092 0.060 0.061 0.043 w/mhz 6.1.1.2 power consumption of the basic cell-type ram (p bm ) refer to section 5.1.7, ?power consumption of ram,? for details on and the equations for calculating the power-consumption values for the primary products of basic cell-type rams in the s1k/S1X70000 series. 6.1.1.3 power consumption of the cell-based-type ram (p cm ) for the power-consumption values of cell-based-type rams in the s1k/S1X70000 series, please contact the sales division of epson. 6.1.1.4 power consumption of other circuit blocks (p ip ) when you will be using other circuit blocks, contact the sales division of epson for details on the power-consumption value of each circuit block. 0.6 0.8 1.0 1.2 1.4 1.6 1.4 1.6 1.8 2.0 2.2 v dd v iop ratio t a = 25 iop = 1.0 v dd = 1.8v figure 6-1 voltage characteristics of the power consumption of msi
chapter 6 estimating various characteristic values 186 epson standard cell s1k70000 series embedded array S1X70000 series 6.1.2 input buffers (p i ) the power consumption of input buffers is obtained as the sum total of the frequencies of the input signals supplied to the respective buffers, f [mhz], multiplied by kpi [ w/mhz]. p i = = k i 1 (kpi x fi) [ w] where, fi : operating frequency [mhz] kpi : voltage coefficient of the input buffer (see table 6-2) table 6-2 kpi for input cells in the s1k70000 series v dd (typ.) 3.3-v buffers (y type) 2.5-v buffers (x type) unit hv dd = 3.3 v 2.57 ? hv dd = 2.5 v 1.16 1.57 v dd or lv dd = 1.8 v 0.62 0.68 v dd or lv dd = 1.5 v 0.43 0.45 w/mhz 6.1.3 output buffers (p o ) the power consumption of output buffers differs between dc load (e.g., resistive load or when connected to ttl devices) and ac load (e.g., capacitive load or when connected to cmos devices). if the dc power consumption and ac power consumption are assumed to be p dc and p ac , respectively, then the power consumption of the output buffers to be obtained, p o , is expressed by the equation below. p o = p ac + p dc 6.1.3.1 ac power consumption (p ac ) with an ac load, the power consumption of the output buffers can be roughly calculated using the equation below. p ac = = k i 1 {fi x c l x (v dd ) 2 } where, fi : operating frequency of the output buffer [hz] c l : output load capacitance [f] v dd : power-supply voltage [v] 6.1.3.2 dc power consumption (p dc ) with a dc load, the power consumption of the output buffers can be roughly calculated using the equation below. p dc = p dch + p dcl where, p dch = |i oh | x (v dd * -v oh ) p dcl = i ol x v ol here, the ratio of p dch to p dcl is determined by the duty cycle of the output signal.
chapter 6 estimating various characteristic values standard cell s1k70000 series epson 187 embedded array S1X70000 series t t 1 t 2 figure 6-2 example of a duty cycle using figure 6-2 as an example, we find duty h = (t 1 + t 2 ) / t duty l = (t - t 1 - t 2 ) / t from the above, p dc = p dch + p dcl = = k i 1 { (v dd * - v oh i) x i oh i x duty h} + = k i 1 [v ol i x i ol i x duty l] * for dual power supplies, v dd represents hv dd or lv dd . 6.1.4 example of calculation of the approximate amount of power consumption calculate the approximate amount of power consumption under the conditions specified below. ? power-supply voltage : hv dd / lv dd = 3.3 v / 1.8 v ? type of transistor used : standard 1 ? i/o cells (y type, all connected to cmos) number of hv input cells : 30, operating at 66 mhz number of hv output cells : 40, operating at 33 mhz, c l = 15 pf (however, 50% operating rate within the same cycle) number of hv bi-directional cells : 40 (separated for calculation purposes) input-cell equivalent 20, operating at 66 mhz output-cell equivalent 20, operating at 33 mhz, c l = 15 pf number of lv input cells : 30, operating at 40 mhz number of lv output cells : 10, operating at 20 mhz, c l = 30 pf ? basic cell-type 2-port ram : 256 words x 16 bits, 4 pcs., operating at 66 mhz 128 words x 8 bits, 6 pcs., operating at 66 mhz ? cell-based logic : 640k 300k, operating at 66 mhz, circuit operation rate: 30% 340k, operating at 50 mhz, circuit operation rate: 30%
chapter 6 estimating various characteristic values 188 epson standard cell s1k70000 series embedded array S1X70000 series first calculate the power consumption in the hv dd block of the circuit. in the example circuit used here, the power consumption in the hv dd block consists entirely of the power consumed by the i/o cells. first, we?ll calculate the power consumption of the input buffers. from table 6-2, kpi = 2.57 [ w/mhz] when hv dd = 3.3 v. therefore, p i = 2.57 [ w/mhz] x 66 [mhz] x (30 + 20) = 8481 [ w] = 8.481 [mw] .................. (1) next, calculate the power consumption of the output buffers. because all of the circuit is connected to cmos interface, calculate the approximate amount of power consumption in terms of ac power consumption (p ac ). p o = 33 x 10 6 [hz] x 15 x 10 -12 [f] x 3.3 2 x (40 x 0.5) + 33 x 10 6 [hz] x 15 x 10 -12 [f] x 3.3 2 x 20 = 0.2156 [w] = 215.6 [mw] ................... (2) therefore, the approximate amount of power consumption in the hv dd block of the circuit, p (hvdd) , is p (hvdd) = pi + po = (1) + (2) = 8.481 + 215.6 = 224.081 ? 224 [mw] ..................... (i) next, calculate the approximate amount of power consumption in the lv dd block of the circuit, p (lvdd) , by following the procedure described below. (1) power consumption of the cell-based area because the 300k-gate area and 340k-gate area operate at 66 mhz and 50 mhz, respectively, and because their circuit operation rates are 30%, the power consumption of the cell-based area to be obtained, p cb , is expressed by the equation below. (for the standard-1 cell-based part, k pint = 0.054 [ w/mhz/bc]) p cb = = k i 1 (nb x fi x spi x k pint ) [ w] = (300000 x 66 x 0.3 x 0.054) + (340000 x 50 x 0.3 x 0.054) [ w] = 320.67 [mw] + 275.4 [mw] = 596.16 [mw] ................... (1) (2) power consumption of basic cell-type 2-port ram from table 5-111 in chapter 5, ?power consumption of ram,? the power consumption of 2-port ram is 151.79 [ w/mhz] for 256 words x 16 bits 70.79 [ w/mhz] for 128 words x 8 bits therefore, the power consumption of ram, p bm , is obtained by the equation below. p bm = 151.79 x 66 x 4 + 70.79 x 66 x 6 [ w] = 40.07 [mw] = 28.03 [mw] = 68.1 [mw]
chapter 6 estimating various characteristic values standard cell s1k70000 series epson 189 embedded array S1X70000 series (3) power consumption in i/o cells first, calculate the power consumption of the input buffers. from table 6-2, kpi = 0.62 [ w/mhz] for lv dd = 1.8 v. therefore, p i = 0.62 [ w/mhz] x 40 [mhz] x 30 = 744 [ w] = 0.744 [mw] .................. (3) next, calculate the power consumption of the output buffers. because the entire circuit is connected to cmos interface, calculate the approximate amount of power consumption in terms of ac power consumption (p ac ). p o = 20 x 10 6 [hz] x 30 x 10 -12 [f] x 1.8 2 x 10 = 0.01944 [w] = 19.4 [mw] ................... (4) therefore, the approximate amount of power consumption in the lv dd block of the circuit, p (lvdd) , is p (lvdd) = (1) + (2) + (3) + (4) = 596.16 + 68.1 + 0.744 + 19.4 = 684.4 [mw] as a result, the approximate amount of power consumed in this circuit is as follows: p (hv dd = 3.3 v) 224 [mw] p (lv dd = 1.8 v) 684 [mw] 6.1.5 limitations on power consumption the chip temperature of lsis increases according to their power consumption. when encapsulated in a package, the lsi?s chip temperature may be calculated from its ambient temperature, t a , the thermal resistance of the package, j-a, and the power dissipation of the lsi, pd. chip temperature (t j ) = t a + (pd x j-a) [c] when used under normal conditions, make sure the chip temperature (t j ) is 125c or less. see table 6-3 for the thermal resistance of each type of package. the thermal-resistance values shown in this table vary significantly depending on how the chip is mounted on the board and whether it is forcibly air-cooled.
chapter 6 estimating various characteristic values 190 epson standard cell s1k70000 series embedded array S1X70000 series table 6-3 thermal resistance of each type of package (suspended singly) h2qfp8 qfp5 qfp5 qfp8 qfp8 qfp12 qfp13 qfp14 qfp15 tqfp14 tqfp14 tqfp15 pin counts 100 128 128 208 48 64 80 100 80 100 100 110( c/w) 110 65 45 230 170 110 115 100 100 110 alloy42 j-a j-a j-a 0m/sec 1m/sec 2m/sec 3m/sec 75 75 - - - - - 50 - - - 60 60 - - - - - 45 - - - 55 55 - - - - - 35 qfp20 144 85 70 50 40 - - - qfp5 qfp5 qfp5 qfp8 qfp8 qfp10 qfp12 qfp13 qfp14 qfp15 qfp20 qfp21 qfp21 qfp22 qfp22 qfp23 qfp23 tqfp12 tqfp13 tqfp15 tqfp24 hqfp8 h2qfp23 h3qfp15 80 100 128 160 256 304 48 64 80 100 184 176 216 208 256 184 240 48 64 128 144 160 240 128 85( c/w) 80 80 45 50 35 175 130 110 90 65 55 55 45 45 40 40 165 140 105 80 32 30 85 cu-l/f 0 m/sec 1 m/sec 2 m/sec 3 m/sec 55 55 55 32 - 20 120 80 - - - - - 35 35 - - - - - - 19 - - 45 35 35 25 - 16 90 55 - - - - - 25 25 - - - - - - 12 - - 40 30 30 23 - - 80 50 - - - - - 23 23 - - - - - - 10 hqfp5 128 60 --- - - 208 34 --- pbga pbga pbga 225 256 388 72( c/w) 53 45 pbga 0 m/sec 1 m/sec 2 m/sec 3 m/sec 46 33 - 37 25 - - - - j-a j-a j-a j-a j-a j-a j-a j-a j-a cflga424 cflga307 cflga239 cflga152 cflga104 75mm 50mm 30mm 75mm 50mm 30mm 75mm 50mm 30mm 75mm 50mm 30mm 75mm 50mm 30mm cflga (mounted on the board, free of wind) 3.82 mm x 3.82 mm 5.73 mm x 5.73 mm chip size 44.0( c/w) 46.9 61.1 44.0 47.1 61.7 44.0 47.3 62.2 44.8 48.8 63.3 45.5 50.3 64.3 32.9 36.4 50.1 33.1 37.4 51.5 33.1 38.3 52.9 34.4 39.7 53.9 35.6 41.1 54.9 24.6 27.8 42.1 24.9 28.5 43.1 25.1 29.2 43.9 - - - - - - 9.55 mm x 9.55 mm package type package type customer?s board size pin counts package type pin counts package type
chapter 6 estimating various characteristic values standard cell s1k70000 series epson 191 embedded array S1X70000 series 6.2 propagation delay time 6.2.1 accuracy of the propagation delay time the propagation delay time, t pd , varies with the lsi?s power-supply voltage, ambient temperature, and process conditions. it also varies with circuit configurations such as the output load (e.g., wiring capacitance or fan-out counts), distorted input waveforms, input logic levels, and mirror effects. for the s1k/S1X70000 series, a delay calculator has been introduced that helps minimize these fluctuating factors, in order to provide a highly accurate delay-time calculation environment. therefore, be aware that the results obtained using this delay calculator do not necessarily match the propagation delay times calculated by customers from the values listed in the ?s1k70000-/S1X70000-series cell library? by following the simplified calculation procedure described below. 6.2.2 calculating the propagation delay time the calculation formulas shown below provide a simple means of calculating the propagation delay time. this calculation formula is such that the larger the load capacitance, the greater the delay error, so that the resulting values are smaller than those obtained using the delay calculator. therefore, the values calculated here can only be used as a guide. (1) delay time of input cells and internal cells the delay time of input cells and internal cells, t pd , is calculated as the sum total of the cell?s inherent delay time when nonloaded, t 0 , and the load delay caused by the wiring load capacitance and input load capacitance connected to the cell outputs. consequently, the propagation delay time, t pd , is calculated using the equation below. t pd = t 0 + k x ( load a + load b) .......... (equation 6-1) where, t 0 : cell?s inherent delay when nonloaded [ps] k : load delay coefficient [ps/lu] load a : input capacitance of the connected cell [lu] load b : wiring load capacitance [lu] note 1: the values of t 0 and k vary with the lsi?s operating voltage, ambient temperature, and process conditions. for these parameters, use the values listed in the ?s1k70000-/S1X70000-series cell library.? note 2: the unit ?lu? stands for load unit. in the s1k70000 series, the gate capacitance at the input pin of the inverter cell (l1inx1) is defined as 1 lu. (2) delay time of the output cells the delay time of the output cells, t pd , is calculated from the output cell?s inherent delay time when nonloaded, t 0 , and the load capacitance connected to the external output pins, c l , by using the equation below. t pd = t 0 + k x c l / 10 ........ (equation 6-2) where, t 0 : output cell?s inherent delay when nonloaded [ps] k : output cell?s load delay coefficient [ps/10 pf] c l : load capacitance connected to the external output pins [pf]
chapter 6 estimating various characteristic values 192 epson standard cell s1k70000 series embedded array S1X70000 series 6.2.3 virtual wiring capacitance as placement and routing performed in the circuit design phase are not based on the circuit?s connection information, the length of the wiring connected as a load to the circuit has not yet been determined. for this reason, in the pre-placement and routing stages, the propagation delay time is calculated using the wiring capacitances (referred to as the ?virtual wiring capacitances?) that have been prepared through statistical processing. for the s1k/S1X70000 series, this information is provided for each type of transistor as the virtual wiring capacitances per branch of output in each wiring layer, classified by gate or grid counts. these virtual wiring capacitances are listed in tables 6-4 through 6-6. table 6-4 virtual wiring capacitances per branch when using l1/l2/l4-type transistors (unit: lu) gate counts 3-layer wiring 4-layer wiring 5-layer wiring 6-layer wiring 1000 2.107 2.131 2.208 2.249 5000 2.113 2.138 2.215 2.256 10000 2.122 2.146 2.224 2.265 20000 2.138 2.163 2.241 2.283 40000 2.171 2.197 2.276 2.318 60000 2.205 2.230 2.311 2.354 80000 2.238 2.264 2.346 2.389 100000 2.271 2.297 2.381 2.425 200000 2.437 2.465 2.555 2.602 400000 2.769 2.801 2.903 2.957 600000 3.101 3.137 3.251 3.311 800000 3.433 3.473 3.599 3.666 1000000 3.765 3.809 3.947 4.020 1200000 4.098 4.145 4.295 4.375 1400000 4.430 4.481 4.643 4.729 1600000 4.762 4.817 4.991 5.084 1800000 5.094 5.153 5.339 5.438 2000000 5.426 5.489 5.688 5.793
chapter 6 estimating various characteristic values standard cell s1k70000 series epson 193 embedded array S1X70000 series table 6-5 virtual wiring capacitances per branch when using an l3-type transistor (unit: lu) gate counts 3-layer wiring 4-layer wiring 5-layer wiring 6-layer wiring 1000 2.160 2.180 2.249 2.273 5000 2.167 2.187 2.256 2.280 10000 2.175 2.196 2.265 2.289 20000 2.192 2.213 2.282 2.307 40000 2.226 2.247 2.318 2.343 60000 2.260 2.282 2.353 2.378 80000 2.294 2.316 2.389 2.414 100000 2.328 2.350 2.424 2.450 200000 2.499 2.522 2.601 2.629 400000 2.839 2.866 2.956 2.988 600000 3.180 3.210 3.310 3.346 800000 3.520 3.553 3.665 3.704 1000000 3.861 3.897 4.019 4.062 1200000 4.201 4.241 4.374 4.421 1400000 4.542 4.585 4.728 4.779 1600000 4.882 4.928 5.083 5.137 1800000 5.222 5.272 5.437 5.495 2000000 5.563 5.616 5.792 5.854 table 6-6 virtual wiring capacitances per branch when using k1/k2/k3/k4-type transistors (unit: lu) gate counts 3-layer wiring 4-layer wiring 5-layer wiring 6-layer wiring 3000 2.321 2.353 2.388 2.393 15000 2.329 2.360 2.396 2.400 30000 2.338 2.369 2.405 2.410 60000 2.356 2.388 2.424 2.429 120000 2.393 2.425 2.461 2.466 180000 2.429 2.462 2.499 2.504 240000 2.466 2.499 2.537 2.542 300000 2.502 2.536 2.574 2.580 600000 2.685 2.722 2.763 2.768 1200000 3.051 3.093 3.139 3.145 1800000 3.417 3.463 3.516 3.523 2400000 3.783 3.834 3.892 3.900 3000000 4.149 4.205 4.269 4.277 3600000 4.515 4.576 4.645 4.654 4200000 4.881 4.947 5.021 5.032 4800000 5.247 5.318 5.398 5.409 5400000 5.613 5.689 5.774 5.786 6000000 5.979 6.060 6.151 6.163
chapter 6 estimating various characteristic values 194 epson standard cell s1k70000 series embedded array S1X70000 series examples of calculation of the propagation delay time (1) delay time of input cells and internal cells the following describes the procedure for calculating the approximate amount of propagation delay time in each path, using the circuits in figure 6-3 as an example. table 6-7 lists various characteristic values excerpted from the ?s1k70000-/S1X70000-series cell library.? the circuits shown below consist of l1-type transistors, amounting to a total of 20,000 gates in circuit size. a l1na2x1 l1inx1 l1no2x1 l1inx2 a1 a a1 xx x x in out1 out2 out3 out0 a l1na2x1 l1inx1 l1no2x1 l1inx2 a1 a a1 xx x x in in out1 out1 out2 out2 out3 out3 out0 out0 figure 6-3 example circuits for calculation of the internal cell propagation delay time table 6-7 delay characteristics of each cell (power-supply voltage: 1.8 v) input output delay characteristics (typ.) cell pin fan-in [lu] pin fan-out [lu] from to parameter t 0 [ps] k [ps/lu] t plh 33 19.1 l1inx1 a 1.0 x 12.9 a x t phl 19 7.1 t plh 43 9.5 l1inx2 a 2.1 x 25.8 a x t phl 22 3.6 t plh 54 19.2 l1na2x1 a1 1.0 x 12.6 a x t phl 32 11.7 t plh 79 39.4 l1no2x1 a1 0.9 x 6.2 a x t phl 23 7.1 l1inx2 (pin a), l1na2x1 (pin a1), and l1no2x1 (pin a1) are connected to output pin x of the cell l1inx1. therefore, from table 6-7, the total amount of the input load capacitance of the cells, load a, is found to be as follows: load a = l1inx2 (fan-in of pin a) + l1na2x1 (fan-in of pin a1) + l1no2x1 (fan-in of pin a1) = 2.1 + 1.0 + 0.9 = 4.0 [lu]
chapter 6 estimating various characteristic values standard cell s1k70000 series epson 195 embedded array S1X70000 series in addition, the wiring load capacitance, load b, is calculated using the virtual wiring capacitances. here, assuming that placement and routing are performed using 3-layer wiring, the virtual wiring capacitances of l1-type transistors 20,000 gates in circuit size are found to be 2.138 [lu] from table 6-4. because output pin x of the cell l1inx1 branches to three inputs, the wiring load capacitance, load b, is calculated as follows: load b = 2.138 x 3 = 6.414 [lu] therefore, the delay in l1inx1 under typ. conditions is calculated using equation 6-1 as shown below. here, the symbol ? ? denotes the rise, and the symbol ? ? denotes the fall. the rise and fall here refer to the rising and falling transitions at output pin x. t pd (a x ) = t 0 ( ) + k ( ) x ( load a + load b) = 33 + 19.1 x (4.0 + 6.414) = 231.9 [ps] t pd (a x ) = t 0 ( ) + k ( ) x ( load a + load b) = 19 + 7.1 x (4.0 + 6.414) = 92.9 [ps] next, calculate the path delay from in to out1, out2, and out3. in this case, because out1, out2, and out3 are in a nonloaded state, the cell?s inherent delay must be added to the above delay value. in the calculation of this path delay, furthermore, care must be taken with respect to the rise and fall of each output. 1) delay in in out1 path = l1inx1 (a x delay) + l1inx2 (a x delay) t pd (in out1 )= t pd (in out0 ) + t pd (out0 out1 ) = 92.9 + 43 = 135.9 [ps] t pd (in out1 )= t pd (in out0 ) + t pd (out0 out1 ) = 231.9 + 22 = 253.9 [ps] 2) delay in in out2 path = l1inx1 (a x delay) + l1na2x1 (a1 x delay) t pd (in out2 )= t pd (in out0 ) + t pd (out0 out2 ) = 92.9 + 54 = 146.9 [ps] t pd (in out2 )= t pd (in out0 ) + t pd (out0 out2 ) = 231.9 + 32 = 263.9 [ps] 3) delay in in out3 path = l1inx1 (a x delay) + l1no2x1 (a1 x delay) t pd (in out3 )= t pd (in out0 ) + t pd (out0 out3 ) = 92.9 + 79 = 171.9 [ps] t pd (in out3 )= t pd (in out0 ) + t pd (out0 out3 ) = 231.9 + 23 = 254.9 [ps]
chapter 6 estimating various characteristic values 196 epson standard cell s1k70000 series embedded array S1X70000 series (2) delay time of output cells the following describes the procedure for calculating the approximate amount of propagation delay time, using the circuits in figure 6-4 as an example. the output pin has a capacitance of 100 pf added external to the chip. table 6-8 lists various characteristic values of dual-power-supply output cells excerpted from the cell library. a hob3ay in pad output pin cl=100pf a hob3ay in in pad cl=100pf figure 6-4 example circuit for calculation of the external cell propagation delay time table 6-8 delay characteristics of output cells (power supply hv dd = 3.3 v / lv dd = 1.8 v) input output delay characteristics (typ.) cell name pin fan-in [lu] pin fan-out [lu] from to parameter t 0 [ps] k [ps/10pf] t plh 984 296.3 hob3ay a 10.0 pad ? a pad t phl 1071 332.6 the delay time in the output cell hob3ay under typ. conditions is calculated using equation 6-2, as shown below. here, the symbol ? ? denotes a rise, and the symbol ? ? denotes a fall. here, these refer to the rising and falling transitions at the pad for the output pin. t pd (in pad )= t 0 ( ) + k ( ) x 100 (pf) / 10 = 984 + 296.3 x 100 (pf) / 10 = 3947 [ps] t pd (in pad )= t 0 ( ) + k ( ) x 100 (pf) / 10 = 1071 + 332.6 x 100 (pf) / 10 = 4397 [ps] 6.2.4 setup and hold times of the flip-flop (ff) if the configured circuit is to operate properly with the desired logic, the timing of the signals applied to the sequential circuit of the ff or of an msi built with ffs is important. the setup and hold times of ffs are closely related to this signal timing. any data that is supplied after the setup time or that has changed state within the hold time cannot be written into the ff circuit properly. therefore, these setup and hold times must be taken into consideration in the timing design.
chapter 6 estimating various characteristic values standard cell s1k70000 series epson 197 embedded array S1X70000 series (1) minimum pulse width this refers to the minimum length of time or the width from the leading to the trailing edge of an input pulse waveform in an ff or an msi built with ffs. if a pulse narrower than that value is applied to the input, it may not only have no effect as a signal, but may also cause the ff to operate erratically. there are the following three definitions of the minimum pulse width: ? minimum pulse width of a clock signal ? minimum pulse width of a set signal ? minimum pulse width of a reset signal (2) setup time for data to be properly read into an ff or an msi built with ffs, the state of the data must be set before the active edge of the clock pulse changes. the time required for this is referred to as the ?setup time.? (3) hold time for data to be properly read into an ff or an msi built with ffs, the state of the data must be maintained for some time after the active edge of the clock pulse is entered. the time required for this is referred to as the ?hold time.? (4) release time (setup) a finite length of time must elapse before the clock pulse can change state after the state of the set/reset input is released in an ff or an msi built with ffs. this time is referred to as the ?release time (setup).? (5) removal time (hold) the state of the set/reset input must be maintained for some time after the clock pulse is entered in an ff or an msi built with ffs. this time is referred to as the ?removal time (hold).? (6) set/reset setup time (recovery) a finite length of time must elapse before the reset input can be driven high after the state of the set input is released in an ff or an msi built with ffs. this time is referred to as the ?set/reset setup time.? (7) set/reset hold time (recovery) the signal state must be maintained for some time before the set signal is driven high after the reset signal is driven high in an ff or an msi built with ffs. this time is referred to as the ?set/reset hold time.? for details regarding the timing error message during the simulation, refer to the manual of each tool. set data clock reset q xq d c s r q xq figure 6-5 l1dfsrx1
chapter 6 estimating various characteristic values 198 epson standard cell s1k70000 series embedded array S1X70000 series pulse width clock data removal release (setup) set setup hold (hold) (reset) set (reset) pulse width pulse width figure 6-6 timing waveform 1 (for definitions (1) to (5)) reset recovery (setup) set recovery (hold) set figure 6-7 timing waveform 2 (for definitions (6) to (7)) the setup/hold times of ffs in the s1k/S1X70000 series are listed in the ?s1k70000-/S1X70000-series msi cell library? in the form shown in table 6-9. when actually using the s1k70000-series standard cells, please refer to the characteristics of each cell.
chapter 6 estimating various characteristic values standard cell s1k70000 series epson 199 embedded array S1X70000 series table 6-9 timing characteristics of l1dfsrx1 (reference) setup time (ps) hold time (ps) pulsewidth (ps) pin typ. (v dd = 1.8 v) typ. (v dd = 1.8 v) typ. (v dd = 1.8 v) c to d 370 103 ? c to r 177 323 ? c to s 229 223 ? r to s 203 ? ? s to r 209 ? ? c ? ? 479 c ? ? 490 r ? ? 362 s ? ? 418 notes p = transition from 0 to 1 level or positive pulse n = transition from 1 to 0 level or negative pulse t a = -40 to +85 c
chapter 6 estimating various characteristic values 200 epson standard cell s1k70000 series embedded array S1X70000 series 6.3 input/output buffer characteristics (3.3-v buffers: y type) 6.3.1 input buffer characteristics standard-cell input buffers z 3.3 v 0.3 v 1 2 3 4 0 1234 t a = 25 c v out (v) v in (v) hv dd = 3.6v hv dd = 3.3v hv dd = 3.0v figure 6-8 input characteristics (lvttl) 1 2 3 4 0 1234 v out (v) v in (v) hv dd = 3.6v hv dd = 3.3v hv dd = 3.0v t a = 25 c figure 6-9 input characteristics (lvcmos) 1 2 3 4 0 1234 hv dd = 3.6v hv dd = 3.3v hv dd = 3.0v v out (v) v in (v) t a = 25 c figure 6-10 input characteristics (pci-3v) 1 2 3 4 0 1234 v out (v) hv dd = 3.6v hv dd = 3.3v hv dd = 3.0v v in (v) t a = 25 c figure 6-11 input characteristics (lvcmos schmitt)
chapter 6 estimating various characteristic values standard cell s1k70000 series epson 201 embedded array S1X70000 series z 2.5 v 0.2 v 1 2 3 0 0.5 1 1.5 2 2.5 3 v in (v) v out (v) hv dd = 2.7v hv dd = 2.5v hv dd = 2.3v t a = 25 c figure 6-12 input characteristics (lvcmos) 1 2 3 0 123 v in (v) v out (v) hv dd = 2.7v hv dd = 2.5v hv dd = 2.3v t a = 25 c figure 6-13 input characteristics (lvcmos schmitt) z 1.8 v 0.15 v 0 0.5 1 1.5 2 2.5 0.5 1 1.5 2 2.5 v in (v) v out (v) t a = 25 c v dd = 1.95v v dd = 1.8v v dd = 1.65v figure 6-14 input characteristics (lvcmos) 0 0.5 1 1.5 2 2.5 0.5 1 1.5 2 2.5 v in (v) v out (v) t a = 25 c v dd = 1.95v v dd = 1.8v v dd = 1.65v figure 6-15 input characteristics (lvcmos schmitt) z 1.5 v 0.1 v 0 0.5 1 1.5 2 0.5 1 1.5 2 v in (v) v out (v) ta = 25 c v dd = 1.5v v dd = 1.4v v dd = 1.6v figure 6-16 input characteristics (lvcmos) 0 0.5 1 1.5 2 0.5 1 1.5 2 v in (v) v out (v) t a = 25 c v dd = 1.4v v dd = 1.6v v dd = 1.5v figure 6-17 input characteristics (lvcmos schmitt)
chapter 6 estimating various characteristic values 202 epson standard cell s1k70000 series embedded array S1X70000 series 6.3.1.1 input through current z 3.3 v 0.3 v currents (a) 0 200 400 600 800 1m 1.2m 1.4m 1.6m 1.8m 2m voltage(v) 0 0.5 1 1.5 2 2.5 3 3.5 hibcy logic_level (hvdd/lvdd = 3.6v/1.95v) figure 6-18 input through current (lvcmos) currents (a) 0 200 400 600 800 1m 1.2m voltage (v) 0 0.5 1 1.5 2 2.5 3 3.5 hibhy logic_level (hvdd/lvdd = 3.6v/1.95v) figure 6-19 input through current (lvcmos schmitt)
chapter 6 estimating various characteristic values standard cell s1k70000 series epson 203 embedded array S1X70000 series currents (a) 0 200 400 600 800 1m 1.2m 1.4m 1.6m 1.8m 2m voltage (v) 0 0.5 1 1.5 2 2.5 3 3.5 hibty logic_level (hvdd/lvdd = 3.6v/1.95v) figure 6-20 input through current (lvttl) currents (a) 0 200 400 600 800 1m 1.2m voltage (v) 0 0.5 1 1.5 2 2.5 3 3.5 hibpciy logic_level (hvdd/lvdd = 3.6v/1.95v) figure 6-21 input through current (pci)
chapter 6 estimating various characteristic values 204 epson standard cell s1k70000 series embedded array S1X70000 series z 2.5 v 0.2 v currents (a) 0 200 400 600 800 1m voltage (v) 0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2 2.2 2.4 2.6 2.8 hibcy logic_level (hvdd/lvdd = 2.7v/1.95v) figure 6-22 input through current (lvcmos) currents ( a) 0 50 100 150 200 250 300 350 400 450 500 550 voltage (v) 0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2 2.2 2.4 2.6 2.8 hibhy logic_level (hvdd/lvdd = 2.7v/1.95v) figure 6-23 input through current (lvcmos schmitt)
chapter 6 estimating various characteristic values standard cell s1k70000 series epson 205 embedded array S1X70000 series z 1.8 v 0.15 v currents ( a) 0 50 100 150 200 250 300 350 400 voltage (v) 0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2 hibcy logic_level (hvdd/lvdd = 1.95v/1.95v) figure 6-24 input through current (lvcmos) currents ( a) 0 20 40 60 80 100 120 140 160 180 200 220 voltage (v) 0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2 hibhy logic_level (hvdd/lvdd = 1.95v/1.95v) figure 6-25 input through current (lvcmos schmitt)
chapter 6 estimating various characteristic values 206 epson standard cell s1k70000 series embedded array S1X70000 series z 1.5 v 0.1 v currents ( a) 0 10 20 30 40 50 60 70 80 90 voltage (v) 0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 hibcy logic_level (hvdd/lvdd = 1.6v/1.6v) figure 6-26 input through current (lvcmos) currents ( a) 0 5 10 15 20 25 30 35 40 45 50 voltage (v) 0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 hibhy logic_level (hvdd/lvdd = 1.6v/1.6v) figure 6-27 input through current (lvcmos schmitt)
chapter 6 estimating various characteristic values standard cell s1k70000 series epson 207 embedded array S1X70000 series 6.3.2 output buffer characteristics (1) list of output buffer specifications table 6-10 output current characteristics (y type) i oh *1 /i ol *2 type of output current hv dd = 3.3 v hv dd = 2.5 v v dd or lv dd = 1.8 v v dd or lv dd = 1.5 v unit type 1 -2/2 -1.5/1.5 -1/1 -0.75/0.75 ma type 2 -4/4 -3/3 -2/2 -1.5/1.5 ma type 3 -8/8 -6/6 -4/4 -3/3 ma type 4 -12/12 -9/9 -6/6 -4.5/4.5 ma notes *1: v oh = power-supply voltage - 0.4 v (power-supply voltage = 3.3 v, 2.5 v, 1.8 v, or 1.5 v) *2: v ol = 0.4 v (power-supply voltage = 3.3 v, 2.5 v, 1.8 v, or 1.5 v)
chapter 6 estimating various characteristic values 208 epson standard cell s1k70000 series embedded array S1X70000 series (2) i ol -v ol , i oh -v oh i ol -v ol z 3.3 v 0.3 v type 1 0 10 20 0.5 1.0 v ol (v) i ol (ma) t a = 25 c hv dd = 3.0v hv dd = 3.3v hv dd = 3.6v figure 6-28 hv dd = 3.0v hv dd = 3.6v type 2 0 25 50 0.5 1.0 v ol (v) i ol (ma) t a = 25 c hv dd = 3.3v figure 6-29 hv dd = 3.0v hv dd = 3.3v hv dd = 3.6v type 3 0 25 50 0.5 1.0 v ol (v) i ol (ma) t a = 25 c figure 6-30 hv dd = 3.0v hv dd = 3.3v hv dd = 3.6v type 4 0 50 100 0.5 1.0 v ol (v) i ol (ma) t a = 25 c figure 6-31 hv dd = 3.0v hv dd = 3.3v hv dd = 3.6v pci3v 0 50 100 0.5 1.0 v ol (v) i ol (ma) t a = 25 c figure 6-32 type 3 type 4 type 2 type 1 type 1 to 4 0 50 100 0.5 1.0 v ol (v) i ol (ma) t a = 25 c hv dd = 3.3v figure 6-33
chapter 6 estimating various characteristic values standard cell s1k70000 series epson 209 embedded array S1X70000 series z 2.5 v 0.2 v hv dd = 2.3v hv dd = 2.5v hv dd = 2.7v type 1 0 10 20 0.5 1.0 v ol (v) i ol (ma) t a = 25 c figure 6-34 hv dd = 2.7v hv dd = 2.5v hv dd = 2.3v type 2 0 10 20 0.5 1.0 v ol (v) i ol (ma) t a = 25 c figure 6-35 hv dd = 2.7v hv dd = 2.5v hv dd = 2.3v type 3 0 25 50 0.5 1.0 v ol (v) i ol (ma) t a = 25 c figure 6-36 hv dd = 2.7v hv dd = 2.5v hv dd = 2.3v type 4 0 25 50 0.5 1.0 v ol (v) i ol (ma) t a = 25 c figure 6-37 type 2 type 3 type 4 type 1 hv dd = 2.5v type 1 to 4 0 25 50 0.5 1.0 v ol (v) i ol (ma) t a = 25 c figure 6-38
chapter 6 estimating various characteristic values 210 epson standard cell s1k70000 series embedded array S1X70000 series z 1.8 v 0.15 v type 1 5 10 0 0.5 1.0 v ol (v) i ol (ma) t a = 25 c v dd = 1.65v v dd = 1.8v v dd = 1.95v figure 6-39 type 2 10 20 0 0.5 1.0 v ol (v) i ol (ma) t a = 25 c v dd = 1.65v v dd = 1.8 v dd = 1.95v figure 6-40 type 3 10 20 0 0.5 1.0 v ol (v) i ol (ma) t a = 25 c v dd = 1.65v v dd = 1.8v v dd = 1.95v figure 6-41 type 4 25 50 0 0.5 1.0 v ol (v) i ol (ma) t a = 25 c v dd = 1.65v v dd = 1.8v v dd = 1.95v figure 6-42 type 1 to 4 25 50 0 0.5 1.0 v ol (v) i ol (ma) t a = 25 c type 2 v dd = 1.8v type 3 type 4 type 1 figure 6-43
chapter 6 estimating various characteristic values standard cell s1k70000 series epson 211 embedded array S1X70000 series z 1.5 v 0.1 v type 1 5 10 0 0.5 1.0 v ol (v) i ol (ma) t a = 25 c v dd = 1.4v v dd = 1.5v v dd = 1.6v figure 6-44 type 2 5 10 0 0.5 1.0 v ol (v) i ol (ma) t a = 25 c v dd = 1.4v v dd = 1.5v v dd = 1.6v figure 6-45 type 3 10 20 0 0.5 1.0 v ol (v) i ol (ma) t a = 25 c v dd = 1.4v v dd = 1.5v v dd = 1.6v figure 6-46 type 4 10 20 0 0.5 1.0 v ol (v) i ol (ma) t a = 25 c v dd = 1.4v v dd = 1.5v v dd = 1.6v figure 6-47 type 1 to 4 10 20 0 0.5 1.0 v ol (v) i ol (ma) t a = 25 c type 2 v dd = 1.5v type 3 type 4 type 1 figure 6-48
chapter 6 estimating various characteristic values 212 epson standard cell s1k70000 series embedded array S1X70000 series i oh -v oh z 3.3 v 0.3 v type 1 -20 -10 -1.0 -0.5 0 v oh - hv dd (v) i oh (ma) t a = 25 c hv dd = 3.0v hv dd = 3.3v hv dd = 3.6v figure 6-49 type 2 -20 -10 -1.0 -0.5 0 v oh - hv dd (v) i oh (ma) t a = 25 c hv dd = 3.0v hv dd = 3.3v hv dd = 3.6v figure 6-50 type 3 -50 -25 -1.0 -0.5 0 v oh - hv dd (v) i oh (ma) t a = 25 c hv dd = 3.0v hv dd = 3.3v hv dd = 3.6v figure 6-51 type 4 -100 -50 -1.0 -0.5 0 v oh - hv dd (v) i oh (ma) t a = 25 c hv dd = 3.0v hv dd = 3.3v hv dd = 3.6v figure 6-52 pci3v -100 -50 -1.0 -0.5 0 v oh - hv dd (v) i oh (ma) hv dd = 3.0v hv dd = 3.3v hv dd = 3.6v t a = 25 c figure 6-53 type 1 to 4 -100 -50 0 0.5 1.0 v oh - hv dd (v) i oh (ma) t a = 25 c hv dd = 3.3v type 3 type 4 type 2 type 1 figure 6-54
chapter 6 estimating various characteristic values standard cell s1k70000 series epson 213 embedded array S1X70000 series z 2.5 v 0.2 v type 1 -20 -10 -1.0 -0.5 0 t a = 25 c hv dd = 2.3v hv dd = 2.5v hv dd = 2.7v v oh - hv dd (v) i oh (ma) figure 6-55 type 2 -20 -10 -1.0 -0.5 0 v oh - hv dd (v) i oh (ma) t a = 25 c hv dd = 2.3v hv dd = 2.5v hv dd = 2.7v figure 6-56 type 3 -50 -25 -1.0 -0.5 0 v oh - hv dd (v) i oh (ma) t a = 25 c hv dd = 2.3v hv dd = 2.5v hv dd = 2.7v figure 6-57 type 4 -50 -25 -1.0 -0.5 0 v oh - hv dd (v) i oh (ma) t a = 25 c hv dd = 2.3v hv dd = 2.5v hv dd = 2.7v figure 6-58 type 1 to 4 -50 -25 0 0.5 1.0 v oh - hv dd (v) i oh (ma) t a = 25 c v dd = 2.5v type 2 type 3 type 4 type 1 figure 6-59
chapter 6 estimating various characteristic values 214 epson standard cell s1k70000 series embedded array S1X70000 series z 1.8 v 0.15 v type 1 -10 -5 -1.0 -0.5 0 v oh - v dd (v) i oh (ma) t a = 25 c v dd = 1.65v v dd = 1.8v v dd = 1.95v figure 6-60 type 2 -10 -5 -1.0 -0.5 0 v oh - v dd (v) i oh (ma) t a = 25 c v dd = 1.65v v dd = 1.8v v dd = 1.95v figure 6-61 type 3 -20 -10 -1.0 -0.5 0 v oh - v dd (v) i oh (ma) t a = 25 c v dd = 1.65v v dd = 1.8v v dd = 1.95v figure 6-62 type 4 -50 -25 -1.0 -0.5 0 v oh - v dd (v) i oh (ma) t a = 25 c v dd = 1.65v v dd = 1.8v v dd = 1.95v figure 6-63 type 1 to 4 -50 -25 0 0.5 1.0 v oh - v dd (v) i oh (ma) type 3 type 4 type 1 t a = 25 c v dd = 1.8v type 2 figure 6-64
chapter 6 estimating various characteristic values standard cell s1k70000 series epson 215 embedded array S1X70000 series z 1.5 v 0.1 v type 1 -5 -2.5 -1.0 -0.5 0 v oh - v dd (v) i oh (ma) t a = 25 c v dd = 1.4v v dd = 1.5v v dd = 1.6v figure 6-65 type 2 -10 -5 -1.0 -0.5 0 v oh - v dd (v) i oh (ma) t a = 25 c v dd = 1.4v v dd = 1.5v v dd = 1.6v figure 6-66 type 3 -20 -10 -1.0 -0.5 0 v oh - v dd (v) i oh (ma) t a = 25 c v dd = 1.4v v dd = 1.5v v dd = 1.6v figure 6-67 type 4 -20 -10 -1.0 -0.5 0 v oh - v dd (v) i oh (ma) t a = 25 c v dd = 1.4v v dd = 1.5v v dd = 1.6v figure 6-68 type 1 to 4 -20 -10 0 0.5 1.0 i oh (ma) t a = 25 c v dd = 1.5v type 2 type 3 type 4 type 1 v oh -v dd (v) figure 6-69
chapter 6 estimating various characteristic values 216 epson standard cell s1k70000 series embedded array S1X70000 series (3) i oh and i ol temperature characteristics z 3.3 v 0.3 v 0.6 0.7 0.8 0.9 1.0 1.1 1.2 1.3 1.4 -60 -40 -20 0 20 40 60 80 100 120 140 t a ( c) i ol (ratio) hv dd = 3.3v i ol = 1.0 (t a = 25 c) figure 6-70 ambient temperature (t a ) vs. output current (i ol ) 0.6 0.7 0.8 0.9 1.0 1.1 1.2 1.3 1.4 -60 -40 -20 0 20 40 60 80 100 120 140 t a ( c) i oh (ratio) hv dd = 3.3v i oh = 1.0 (t a = 25 c) figure 6-71 ambient temperature (t a ) vs. output current (i oh ) z 2.5 v 0.2 v 0.6 0.7 0.8 0.9 1.0 1.1 1.2 1.3 1.4 -60 -40 -20 0 20 40 60 80 100 120 140 t a ( c) i ol (ratio) hv dd = 2.5v i ol = 1.0 (t a = 25 c) figure 6-72 ambient temperature (t a ) vs. output current (i ol ) 0.6 0.7 0.8 0.9 1.0 1.1 1.2 1.3 1.4 -60 -40 -20 0 20 40 60 80 100 120 140 t a ( c) i oh (ratio) hv dd = 2.5v i oh = 1.0 (t a = 25 c) figure 6-73 ambient temperature (t a ) vs. output current (i oh )
chapter 6 estimating various characteristic values standard cell s1k70000 series epson 217 embedded array S1X70000 series z 1.8 v 0.15 v 0.6 0.7 0.8 0.9 1.0 1.1 1.2 1.3 1.4 -60 -40 -20 0 20 40 60 80 100 120 140 t a ( c) i ol (ratio) v dd or lv dd = 1.8v i ol = 1.0 (t a = 25 c) figure 6-74 ambient temperature (t a ) vs. output current (i ol ) 0.6 0.7 0.8 0.9 1.0 1.1 1.2 1.3 1.4 -60 -40 -20 0 20 40 60 80 100 120 140 t a ( c) i oh (ratio) v dd or lv dd = 1.8v i oh = 1.0 (t a = 25 c) figure 6-75 ambient temperature (t a ) vs. output current (i oh ) z 1.5 v 0.1 v 0.6 0.7 0.8 0.9 1.0 1.1 1.2 1.3 1.4 -60 -40 -20 0 20 40 60 80 100 120 140 t a ( c) i ol (ratio) v dd or lv dd = 1.5v i ol = 1.0 (t a = 25 c) figure 6-76 ambient temperature (t a ) vs. output current (i ol ) 0.6 0.7 0.8 0.9 1.0 1.1 1.2 1.3 1.4 -60 -40 -20 0 20 40 60 80 100 120 140 t a ( c) i oh (ratio) v dd or lv dd = 1.5v i oh = 1.0 (t a = 25 c) figure 6-77 ambient temperature (t a ) vs. output current (i oh )
chapter 6 estimating various characteristic values 218 epson standard cell s1k70000 series embedded array S1X70000 series (4) t phl -c l , t plh -c l z 3.3 v 0.3 v high speed 5 10 15 20 0 50 100 150 200 c l (pf) t plh (ns) hv dd = 3.3v v l = 1.65v t a = 25 c hob2ay hob3ay hob4ay hob1ay figure 6-78 output delay time (t plh ) vs. output load capacitance (c l ) 5 10 15 20 0 50 100 150 200 c l (pf) t phl (ns) hv dd = 3.3v v l = 1.65v t a = 25 c hob2ay h ob3ay hob4ay hob1ay high speed figure 6-79 output delay time (t phl ) vs. output load capacitance (c l ) low noise 5 10 15 20 0 50 100 150 200 c l (pf) t plh (ns) hv dd = 3.3v v l = 1.65v t a = 25 c hob2by hob3by hob4by hob1by figure 6-80 output delay time (t plh ) vs. output load capacitance (c l ) low noise 5 10 15 20 0 50 100 150 200 c l (pf) t phl (ns) hob2by hob3by hob4by hob1by hv dd = 3.3v v l = 1.65v t a = 25 c figure 6-81 output delay time (t phl ) vs. output load capacitance (c l ) pci 5 10 15 20 0 50 100 150 200 c l (pf) t plh (ns) hv dd = 3.3v v l = 1.65v t a = 25 c hobpciy figure 6-82 output delay time (t plh ) vs. output load capacitance (c l ) pci 5 10 15 20 0 50 100 150 200 hobpciy c l (pf) t phl (ns) hv dd = 3.3v v l = 1.65v t a = 25 c figure 6-83 output delay time (t phl ) vs. output load capacitance (c l )
chapter 6 estimating various characteristic values standard cell s1k70000 series epson 219 embedded array S1X70000 series z 2.5 v 0.2 v high speed 10 20 30 40 0 50 100 150 200 c l (pf) t plh (ns) hv dd = 2.5v v l = 1.25v t a = 25 c hob3ay hob4ay hob1ay hob2ay figure 6-84 output delay time (t plh ) vs. output load capacitance (c l ) high speed 5 10 15 20 0 50 100 150 200 hob2ay hob3ay hob4ay hob1ay c l (pf) t phl (ns) hv dd = 2.5v v l = 1.25v t a = 25 c figure 6-85 output delay time (t phl ) vs. output load capacitance (c l ) low noise 10 20 30 40 0 50 100 150 200 c l (pf) t plh (ns) hv dd = 2.5v v l = 1.25v t a = 25 c hob3by hob4by hob1by hob2by figure 6-86 output delay time (t plh ) vs. output load capacitance (c l ) low noise 5 10 15 20 0 50 100 150 200 hob2by hob3by hob4by hob1by c l (pf) t phl (ns) hv dd = 2.5v v l = 1.25v t a = 25 c figure 6-87 output delay time (t phl ) vs. output load capacitance (c l ) z 1.8 v 0.15 v high speed 10 20 30 40 0 50 100 150 200 c l (pf) t plh (ns) v dd = 1.8v v l = 0.9v t a = 25 c mob2ay mob3ay mob4ay mob1ay figure 6-88 output delay time (t plh ) vs. output load capacitance (c l ) high speed 10 20 30 40 0 50 100 150 200 mob2ay mob3ay mob4ay mob1ay c l (pf) t phl (ns) v dd = 1.8v v l = 0.9v t a = 25 c figure 6-89 output delay time (t phl ) vs. output load capacitance (c l )
chapter 6 estimating various characteristic values 220 epson standard cell s1k70000 series embedded array S1X70000 series low noise 10 20 30 40 0 50 100 150 200 c l (pf) t plh (ns) v dd = 1.8v v l = 0.9 t a = 25 c mob2by mob3by mob4by mob1by figure 6-90 output delay time (t plh ) vs. output load capacitance (c l ) low noise 10 20 30 40 0 50 100 150 200 mob2by mob3by mob4by mob1by c l (pf) t phl (ns) v dd = 1.8v v l = 0.9v t a = 25 c figure 6-91 output delay time (t phl ) vs. output load capacitance (c l ) z 1.5 v 0.1 v high speed 20 40 60 80 0 50 100 150 200 c l (pf) t plh (ns) v dd = 1.5v v l = 0.75v t a = 25 c mob2ay mob3ay mob4ay mob1ay figure 6-92 output delay time (t plh ) vs. output load capacitance (c l ) high speed 10 20 30 40 0 50 100 150 200 mob2ay mob3ay mob4ay mob1ay c l (pf) t phl (ns) v dd = 1.5v v l = 0.75v t a = 25 c figure 6-93 output delay time (t phl ) vs. output load capacitance (c l ) low noise 20 40 60 80 0 50 100 150 200 c l (pf) t plh (ns) mob2by mob3by mob4by mob1by v dd = 1.5v v l = 0.75v t a = 25 c figure 6-94 output delay time (t plh ) vs. output load capacitance (c l ) low noise 10 20 30 40 0 50 100 150 200 mob2by mob3ay mob4by mob1by c l (pf) t phl (ns) v dd = 1.5v v l = 0.75v t a = 25 c figure 6-95 output delay time (t phl ) vs. output load capacitance (c l )
chapter 6 estimating various characteristic values standard cell s1k70000 series epson 221 embedded array S1X70000 series (5) t r (10%-90%) -c l , t f (10%-90%) -c l z 3.3 v 0.3 v high speed 10 20 30 40 0 50 100 150 200 c l (pf) t r (10%-90%) (ns) hv dd = 3.3v v l = 1.65v t a = 25 c hob2ay hob3ay hob4ay hob1ay figure 6-96 rising time (t r ) vs. output load capacitance (c l ) high speed 10 20 30 40 0 50 100 150 200 c l (pf) t f (10%-90%) (ns) hob2ay hob3ay hob4ay hob1ay hv dd = 3.3v v l = 1.65v t a = 25 c figure 6-97 falling time (t f ) vs. output load capacitance (c l ) low noise 10 20 30 40 0 50 100 150 200 c l (pf) t r (10%-90%) (ns) hv dd = 3.3v v l = 1.65v t a = 25 c hob2by hob3by hob4by hob1by figure 6-98 rising time (t r ) vs. output load capacitance (c l ) low noise 10 20 30 40 0 50 100 150 200 c l (pf) t f (10%-90%) (ns) hob2by hob3by hob4by hob1by hv dd = 3.3v v l = 1.65v t a = 25 c figure 6-99 falling time (t f ) vs. output load capacitance (c l ) pci 10 20 30 40 0 50 100 150 200 c l (pf) t r (10%-90%) (ns) hv dd = 3.3v v l = 1.65v t a = 25 c hobpciy figure 6-100 rising time (t r ) vs. output load capacitance (c l ) pci 10 20 30 40 0 50 100 150 200 c l (pf) t f (10%-90%) (ns) hobpciy hv dd = 3.3v v l = 1.65v t a = 25 c figure 6-101 falling time (t f ) vs. output load capacitance (c l )
chapter 6 estimating various characteristic values 222 epson standard cell s1k70000 series embedded array S1X70000 series z 2.5 v 0.2 v high speed 20 40 60 80 0 50 100 150 200 c l (pf) t r (10%-90%) (ns) hv dd = 2.5v v l = 1.25v t a = 25 c hob2ay hob3ay hob4ay hob1ay figure 6-102 rising time (t r ) vs. output load capacitance (c l ) high speed 10 20 30 40 0 50 100 150 200 c l (pf) t f (10%-90%) (ns) hv dd = 2.5v v l = 1.25v t a = 25 c hob2ay hob3ay hob4ay hob1ay figure 6-103 falling time (t f ) vs. output load capacitance (c l ) low noise 20 40 60 80 0 50 100 150 200 c l (pf) t r (10%-90%) (ns) hv dd = 2.5v v l = 1.25v t a = 25 c hob2by hob3by hob4by hob1by figure 6-104 rising time (t r ) vs. output load capacitance (c l ) low noise 10 20 30 40 0 50 100 150 200 c l (pf) t f (10%-90%) (ns) hob2by hob3by hob4by hob1by hv dd = 2.5v v l = 1.25v t a = 25 c figure 6-105 falling time (t f ) vs. output load capacitance (c l ) z 1.8 v 0.15 v high speed 20 40 60 80 0 50 100 150 200 c l (pf) t r (10%-90%) (ns) v dd = 1.8v v l = 0.9v t a = 25 c mob2ay mob3ay mob4ay mob1ay figure 6-106 rising time (t r ) vs. output load capacitance (c l ) high speed 20 40 60 80 0 50 100 150 200 c l (pf) t f (10%-90%) (ns) v dd = 1.8v v l = 0.9v t a = 25 c mob2ay mob4ay mob1ay mob3ay figure 6-107 falling time (t f ) vs. output load capacitance (c l )
chapter 6 estimating various characteristic values standard cell s1k70000 series epson 223 embedded array S1X70000 series low noise 20 40 60 80 0 50 100 150 200 c l (pf) t r (10%-90%) (ns) v dd = 1.8v v l = 0.9 t a = 25 c mob2by mob3by mob4by mob1by figure 6-108 rising time (t r ) vs. output load capacitance (c l ) low noise 20 40 60 80 0 50 100 150 200 c l (pf) t f (10%-90%) (ns) v dd = 1.8v v l = 0.9 t a = 25 c mob2by mob3by mob4by mob1by figure 6-109 falling time (t f ) vs. output load capacitance (c l ) z 1.5 v 0.1 v high speed 0 25 50 75 100 0 50 100 150 200 c l (pf) t r (10%-90%) (ns) mob3ay mob4ay mob1ay mob2ay v dd = 1.5v v l = 0.75v t a = 25 c figure 6-110 rising time (t r ) vs. output load capacitance (c l ) high speed 20 40 60 80 0 50 100 150 200 c l (pf) t f (10%-90%) (ns) mob2ay mob3ay mob4ay mob1ay v dd = 1.5v v l = 0.75v t a = 25 c figure 6-111 falling time (t f ) vs. output load capacitance (c l ) low noise 25 50 75 100 0 50 100 150 200 c l (pf) t r (10%-90%) (ns) mob2by mob3by mob4by mob1by v dd =1 .5v v l = 0.75v t a = 25 c figure 6-112 rising time (t r ) vs. output load capacitance (c l ) low noise 20 40 60 80 0 50 100 150 200 c l (pf) t f (10%-90%) (ns) mob2by mob3by mob4by mob1by v dd = 1.5v v l = 0.75v t a = 25 c figure 6-113 falling time (t f ) vs. output load capacitance (c l )
chapter 6 estimating various characteristic values 224 epson standard cell s1k70000 series embedded array S1X70000 series (6) recommended range of load capacitances for output buffers table 6-11 lists the recommended range of load capacitances for output buffers, classified by drive capability (consult table 6-11 to select the most suitable output buffer for your design). note that if output buffers are used with a load capacitance below the recommended range of load capacitances, the output signal may have larger overshoots or undershoots. table 6-11 recommended range of output buffer load capacitances type of output buffer example recommended range of load capacitances (pf) type 1 mob1aty 0?40 type 2 mob2aty 20?100 type 3 mob3aty 50?150 type 4 mob4aty 100?200
chapter 6 estimating various characteristic values standard cell s1k70000 series epson 225 embedded array S1X70000 series (7) fmax-c l z 3.3 v 0.3 v high speed high speed high speed high speed 1 10 100 1000 0 20 40 60 80 100 120 140 160 180 200 220 c l (pf) fmax (mhz) figure 6-114 output operating frequency ?hob1ay? 1 10 100 1000 0 20 40 60 80 100 120 140 160 180 200 220 c l (pf) fmax (mhz) figure 6-115 output operating frequency ?hob2ay? 1 10 100 1000 0 20 40 60 80 100 120 140 160 180 200 220 c l (pf) fmax (mhz) figure 6-116 output operating frequency ?hob3ay? 1 10 100 1000 0 20 40 60 80 100 120 140 160 180 200 220 c l (pf) fmax (mhz) figure 6-117 output operating frequency ?hob4ay? low noise low noise low noise low noise 1 10 100 1000 0 20 40 60 80 100 120 140 160 180 200 220 c l (pf) fmax (mhz) figure 6-118 output operating frequency ?hob1by? 1 10 100 1000 0 20 40 60 80 100 120 140 160 180 200 220 c l (pf) fmax (mhz) figure 6-119 output operating frequency ?hob2by?
chapter 6 estimating various characteristic values 226 epson standard cell s1k70000 series embedded array S1X70000 series 1 10 100 1000 0 20 40 60 80 100 120 140 160 180 200 220 c l (pf) fmax (mhz) figure 6-120 output operating frequency ?hob3by? 1 10 100 1000 0 20 40 60 80 100 120 140 160 180 200 220 c l (pf) fmax (mhz) figure 6-121 output operating frequency ?hob4by? z 2.5 v 0.2 v high speed high speed high speed high speed 1 10 100 1000 0 20 40 60 80 100 120 140 160 180 200 220 c l (pf) fmax (mhz) figure 6-122 output operating frequency ?hob1ay? 1 10 100 1000 0 20 40 60 80 100 120 140 160 180 200 220 c l (pf) fmax (mhz) figure 6-123 output operating frequency ?hob2ay? 1 10 100 1000 0 20 40 60 80 100 120 140 160 180 200 220 c l (pf) fmax (mhz) figure 6-124 output operating frequency ?hob3ay? 1 10 100 1000 0 20 40 60 80 100 120 140 160 180 200 220 c l (pf) fmax (mhz) figure 6-125 output operating frequency ?hob4ay?
chapter 6 estimating various characteristic values standard cell s1k70000 series epson 227 embedded array S1X70000 series low noise low noise low noise low noise 1 10 100 1000 0 20 40 60 80 100 120 140 160 180 200 220 c l (pf) fmax (mhz) figure 6-126 output operating frequency ?hob1by? 1 10 100 1000 0 20 40 60 80 100 120 140 160 180 200 220 c l (pf) fmax (mhz) figure 6-127 output operating frequency ?hob2by? 1 10 100 1000 0 20 40 60 80 100 120 140 160 180 200 220 c l (pf) fmax (mhz) figure 6-128 output operating frequency ?hob3by? 1 10 100 1000 0 20 40 60 80 100 120 140 160 180 200 220 c l (pf) fmax (mhz) figure 6-129 output operating frequency ?hob4by? z 1.8 v 0.15 v high speed high speed high speed high speed 1 10 100 1000 0 20 40 60 80 100 120 140 160 180 200 220 c l (pf) fmax (mhz) figure 6-130 output operating frequency ?mob1ay? 1 10 100 1000 0 20 40 60 80 100 120 140 160 180 200 220 c l (pf) fmax (mhz) figure 6-131 output operating frequency ?mob2ay?
chapter 6 estimating various characteristic values 228 epson standard cell s1k70000 series embedded array S1X70000 series 1 10 100 1000 0 20 40 60 80 100 120 140 160 180 200 220 c l (pf) fmax (mhz) figure 6-132 output operating frequency ?mob3ay? 1 10 100 1000 0 20 40 60 80 100 120 140 160 180 200 220 c l (pf) fmax (mhz) figure 6-133 output operating frequency ?mob4ay? low noise low noise low noise low noise 1 10 100 1000 0 20 40 60 80 100 120 140 160 180 200 220 c l (pf) fmax (mhz) figure 6-134 output operating frequency ?mob1by? 1 10 100 1000 0 20 40 60 80 100 120 140 160 180 200 220 c l (pf) fmax (mhz) figure 6-135 output operating frequency ?mob2by? 1 10 100 1000 0 20 40 60 80 100 120 140 160 180 200 220 c l (pf) fmax (mhz) figure 6-136 output operating frequency ?mob3by? 1 10 100 1000 0 20 40 60 80 100 120 140 160 180 200 220 c l (pf) fmax (mhz) figure 6-137 output operating frequency ?mob4by?
chapter 6 estimating various characteristic values standard cell s1k70000 series epson 229 embedded array S1X70000 series z 1.5 v 0.1 v high speed high speed high speed high speed 1 10 100 1000 0 20 40 60 80 100 120 140 160 180 200 220 c l (pf) fmax (mhz) figure 6-138 output operating frequency ?mob1ay 1 10 100 1000 0 20 40 60 80 100 120 140 160 180 200 220 c l (pf) fmax (mhz) figure 6-139 output operating frequency ?mob2ay 1 10 100 1000 0 20 40 60 80 100 120 140 160 180 200 220 c l (pf) fmax (mhz) figure 6-140 output operating frequency ?mob3ay 1 10 100 1000 0 20 40 60 80 100 120 140 160 180 200 220 c l (pf) fmax (mhz) figure 6-141 output operating frequency ?mob4ay low noise low noise low noise low noise 1 10 100 1000 0 20 40 60 80 100 120 140 160 180 200 220 c l (pf) fmax (mhz) figure 6-142 output operating frequency ?mob1by? 1 10 100 1000 0 20 40 60 80 100 120 140 160 180 200 220 c l (pf) fmax (mhz) figure 6-143 output operating frequency ?mob2by?
chapter 6 estimating various characteristic values 230 epson standard cell s1k70000 series embedded array S1X70000 series 1 10 100 1000 0 20 40 60 80 100 120 140 160 180 200 220 c l (pf) fmax (mhz) figure 6-144 output operating frequency ?mob3by? 1 10 100 1000 0 20 40 60 80 100 120 140 160 180 200 220 c l (pf) fmax (mhz) figure 6-145 output operating frequency ?mob4by?
chapter 6 estimating various characteristic values standard cell s1k70000 series epson 231 embedded array S1X70000 series (8) pull-up/pull-down characteristics z 3.3 v 0.3 v pull-up characteristics pull-up characteristics pull-up characteristics pull-up characteristics 0 50 100 150 200 2.4 2.7 3 3.3 3.6 3.9 4.2 hv dd (v) r plu (k ? ) t a = 25 c type 1 type 2 figure 6-146 pull-up resistance (r plu ) vs. hv dd 0 50 100 150 200 -60 -40 -20 0 20 40 60 80 100 120 140 t a ( c ) type 1 type 2 hv dd = 3.3v r plu (k ? ) figure 6-147 pull-up resistance (r plu ) vs. ambient temperature (t a ) pull-down characteristics pull-down characteristics pull-down characteristics pull-down characteristics 0 50 100 150 200 2.4 2.7 3 3.3 3.6 3.9 4.2 hv dd (v) r pld (k ? ) t a = 25 c type 1 type 2 figure 6-148 pull-down resistance (r pld ) vs. hv dd 0 50 100 150 200 -60 -40 -20 0 20 40 60 80 100 120 140 t a ( c ) r pld (k ? ) type 1 type 2 hv dd = 3.3v figure 6-149 pull-down resistance (r pld ) vs. ambient temperature (t a )
chapter 6 estimating various characteristic values 232 epson standard cell s1k70000 series embedded array S1X70000 series z 2.5 v 0.2 v pull-up characteristics pull-up characteristics pull-up characteristics pull-up characteristics 0 50 100 150 200 250 2.1 2.3 2.5 2.7 2.9 hv dd (v) t a = 25 c type 1 type 2 r plu (k ? ) figure 6-150 pull-up resistance (r plu ) vs. hv dd 0 50 100 150 200 250 -60 -40 -20 0 20 40 60 80 100 120 140 t a ( c ) type 1 type 2 hv dd = 2.5v r plu (k ? ) figure 6-151 pull-up resistance (r plu ) vs. ambient temperature (t a ) pull-down characteristics pull-down characteristics pull-down characteristics pull-down characteristics 0 50 100 150 200 2.1 2.3 2.5 2.7 2.9 hv dd (v) t a = 25 c type 1 type 2 r pld (k ? ) figure 6-152 pull-down resistance (r pld ) vs. hv dd 0 50 100 150 200 -60 -40 -20 0 20 40 60 80 100 120 140 t a ( c) type 1 type 2 hv dd = 2.5v r pld (k ? ) figure 6-153 pull-down resistance (r pld ) vs. ambient temperature (t a ) z 1.8 v 0.15 v pull-up characteristics pull-up characteristics pull-up characteristics pull-up characteristics 0 50 100 150 200 1.4 1.6 1.8 2 2.2 v dd or lv dd (v) t a = 25 c type 1 type 2 r plu (k ? ) figure 6-154 pull-up resistance (r plu ) vs. v dd (lv dd ) 0 50 100 150 200 -60 -40 -20 0 20 40 60 80 100 120 140 t a ( c ) type 1 type 2 v dd or lv dd = 1.8v r plu (k ? ) figure 6-155 pull-up resistance (r plu ) vs. ambient temperature (t a )
chapter 6 estimating various characteristic values standard cell s1k70000 series epson 233 embedded array S1X70000 series pull-down characteristics pull-down characteristics pull-down characteristics pull-down characteristics 0 50 100 150 200 1.4 1.6 1.8 2 2.2 v dd or lv dd (v) t a = 25 c type 1 type 2 r pld (k ? ) figure 6-156 pull-down resistance (r pld ) vs. v dd (lv dd ) 0 50 100 150 200 -60 -40 -20 0 20 40 60 80 100 120 140 t a ( c) type 1 type 2 v dd or lv dd = 1.8v r pld (k ? ) figure 6-157 pull-down resistance (r pld ) vs. ambient temperature (t a ) z 1.5 v 0.1 v pull-up characteristics pull-up characteristics pull-up characteristics pull-up characteristics 0 50 100 150 200 250 300 1.2 1.3 1.4 1.5 1.6 1.7 1.8 v dd or lv dd (v) r plu (k ? ) t a = 25 c type 1 type 2 figure 6-158 pull-up resistance (r plu ) vs. v dd (lv dd ) 0 50 100 150 200 250 300 -60 -40 -20 0 20 40 60 80 100 120 140 t a ( c ) r plu (k ? ) type 1 type 2 v dd or lv dd = 1.5v figure 6-159 pull-up resistance (r plu ) vs. ambient temperature (t a ) pull-down characteristics pull-down characteristics pull-down characteristics pull-down characteristics 0 50 100 150 200 1.2 1.3 1.4 1.5 1.6 1.7 1.8 v dd or lv dd (v) r pld (k ? ) t a = 25 c type 1 type 2 figure 6-160 pull-down resistance (r pld ) vs. v dd (lv dd ) 0 50 100 150 200 -60 -40 -20 0 20 40 60 80 100 120 140 t a ( c) r pld (k ? ) type 1 type 2 v dd or lv dd = 1.5v figure 6-161 pull-down resistance (r pld ) vs. ambient temperature (t a )
chapter 6 estimating various characteristic values 234 epson standard cell s1k70000 series embedded array S1X70000 series (9) output waveforms z 3.3 v 0.3 v type type type type 1 1 1 1 voltages (v) 0 2 4 time (s) 0 5n 10n 15n 20n 25n 30n 35n 40n 45n 50n 55n 60n 65n 70n 75n 80n hv dd /lv dd = 3.3v/1.8v, t a = 25 c, c l = 15pf figure 6-162 output waveform (hob1ay) voltages (v) 0 2 4 time (s) 0 5n 10n 15n 20n 25n 30n 35n 40n 45n 50n 55n 60n 65n 70n 75n 80n hv dd /lv dd = 3.3v/1.8v, t a = 25 c, c l = 15pf figure 6-163 output waveform (hob1by) type type type type 2 2 2 2 voltages (v) 0 2 4 time (s) 0 5n 10n 15n 20n 25n 30n 35n 40n 45n 50n 55n 60n 65n 70n 75n 80n hv dd /lv dd = 3.3v/1.8v, t a = 25 c, c l = 15pf figure 6-164 output waveform (hob2ay) voltages (v) 0 2 4 time (s) 0 5n 10n 15n 20n 25n 30n 35n 40n 45n 50n 55n 60n 65n 70n 75n 80n hv dd /lv dd = 3.3v/1.8v, t a = 25 c, c l = 15pf figure 6-165 output waveform (hob2by)
chapter 6 estimating various characteristic values standard cell s1k70000 series epson 235 embedded array S1X70000 series type type type type 3 3 3 3 voltages (v) 0 2 4 time (s) 0 5n 10n 15n 20n 25n 30n 35n 40n 45n 50n 55n 60n 65n 70n 75n 80n hv dd /lv dd = 3.3v/1.8v, t a = 25 c, c l = 15pf figure 6-166 output waveform (hob3ay) voltages (v) 0 2 4 time (s) 0 5n 10n 15n 20n 25n 30n 35n 40n 45n 50n 55n 60n 65n 70n 75n 80n hv dd /lv dd = 3.3v/1.8v, t a = 25 c, c l = 15pf figure 6-167 output waveform (hob3by) type type type type 4 4 4 4 voltages (v) 0 2 4 time (s) 0 5n 10n 15n 20n 25n 30n 35n 40n 45n 50n 55n 60n 65n 70n 75n 80n hv dd /lv dd = 3.3v/1.8v, t a = 25 c, c l = 15pf figure 6-168 output waveform (hob4ay) voltages (v) 0 2 4 time (s) 0 5n 10n 15n 20n 25n 30n 35n 40n 45n 50n 55n 60n 65n 70n 75n 80n hv dd /lv dd = 3.3v/1.8v, t a = 25 c, c l = 15pf figure 6-169 output waveform (hob4by)
chapter 6 estimating various characteristic values 236 epson standard cell s1k70000 series embedded array S1X70000 series z 2.5 v 0.2 v type type type type 1 1 1 1 voltages (v) 0 2 time (s) 0 5n 10n 15n 20n 25n 30n 35n 40n 45n 50n 55n 60n 65n 70n 75n 80n hv dd /lv dd = 2.5v/1.8v, t a = 25 c, c l = 15pf figure 6-170 output waveform (hob1ay) voltages (v) 0 2 time (s) 0 5n 10n 15n 20n 25n 30n 35n 40n 45n 50n 55n 60n 65n 70n 75n 80n hv dd /lv dd = 2.5v/1.8v, t a = 25 c, c l = 15pf figure 6-171 output waveform (hob1by) type type type type 2 2 2 2 voltages (v) 0 2 time (s) 0 5n 10n 15n 20n 25n 30n 35n 40n 45n 50n 55n 60n 65n 70n 75n 80n hv dd /lv dd = 2.5v/1.8v, t a = 25 c, c l = 15pf figure 6-172 output waveform (hob2ay) voltages (v) 0 2 hv dd /lv dd = 2.5v/1.8v, t a = 25 c, c l = 15pf time (s) 0 5n 10n 15n 20n 25n 30n 35n 40n 45n 50n 55n 60n 65n 70n 75n 80n figure 6-173 output waveform (hob2by)
chapter 6 estimating various characteristic values standard cell s1k70000 series epson 237 embedded array S1X70000 series type type type type 3 3 3 3 voltages (v) 0 2 time (s) 0 5n 10n 15n 20n 25n 30n 35n 40n 45n 50n 55n 60n 65n 70n 75n 80n hv dd /lv dd = 2.5v/1.8v, t a = 25 c, c l = 15pf figure 6-174 output waveform (hob3ay) voltages (v) 0 2 time (s) 0 5n 10n 15n 20n 25n 30n 35n 40n 45n 50n 55n 60n 65n 70n 75n 80n hv dd /lv dd = 2.5v/1.8v, t a = 25 c, c l = 15pf figure 6-175 output waveform (hob3by) type type type type 4 4 4 4 voltages (v) 0 2 time (s) 0 5n 10n 15n 20n 25n 30n 35n 40n 45n 50n 55n 60n 65n 70n 75n 80n hv dd /lv dd = 2.5v/1.8v, t a = 25 c, c l = 15pf figure 6-176 output waveform (hob4ay) voltages (v) 0 2 time (s) 0 5n 10n 15n 20n 25n 30n 35n 40n 45n 50n 55n 60n 65n 70n 75n 80n hv dd /lv dd = 2.5v/1.8v, t a = 25 c, c l = 15pf figure 6-177 output waveform (hob4by)
chapter 6 estimating various characteristic values 238 epson standard cell s1k70000 series embedded array S1X70000 series z 1.8 v 0.15 v type type type type 1 1 1 1 voltages (v) 0 1 2 time (s) 0 5n 10n 15n 20n 25n 30n 35n 40n 45n 50n 55n 60n 65n 70n 75n 80n v dd = 1.8v, t a = 25 c, c l = 15pf figure 6-178 output waveform (mob1ay) voltages (v) 0 1 2 time (s) 0 5n 10n 15n 20n 25n 30n 35n 40n 45n 50n 55n 60n 65n 70n 75n 80n v dd = 1.8v, t a = 25 c, c l = 15pf figure 6-179 output waveform (mob1by) type type type type 2 2 2 2 voltages (v) 0 1 2 time (s) 0 5n 10n 15n 20n 25n 30n 35n 40n 45n 50n 55n 60n 65n 70n 75n 80n v dd = 1.8v, t a = 25 c, c l = 15pf figure 6-180 output waveform (mob2ay) voltages (v) 0 1 2 time (s) 0 5n 10n 15n 20n 25n 30n 35n 40n 45n 50n 55n 60n 65n 70n 75n 80n v dd = 1.8v, t a = 25 c, c l = 15pf figure 6-181 output waveform (mob2by)
chapter 6 estimating various characteristic values standard cell s1k70000 series epson 239 embedded array S1X70000 series type type type type 3 3 3 3 voltages (v) 0 1 2 time (s) 0 5n 10n 15n 20n 25n 30n 35n 40n 45n 50n 55n 60n 65n 70n 75n 80n v dd = 1.8v, t a = 25 c, c l = 15pf figure 6-182 output waveform (mob3ay) voltages (v) 0 1 2 time (s) 0 5n 10n 15n 20n 25n 30n 35n 40n 45n 50n 55n 60n 65n 70n 75n 80n v dd = 1.8v, t a = 25 c, c l = 15pf figure 6-183 output waveform (mob3by) type type type type 4 4 4 4 voltages (v) 0 1 2 time (s) 0 5n 10n 15n 20n 25n 30n 35n 40n 45n 50n 55n 60n 65n 70n 75n 80n v dd = 1.8v, t a = 25 c, c l = 15pf figure 6-184 output waveform (mob4ay) voltages (v) 0 1 2 time (s) 0 5n 10n 15n 20n 25n 30n 35n 40n 45n 50n 55n 60n 65n 70n 75n 80n v dd = 1.8v, t a = 25 c, c l = 15pf figure 6-185 output waveform (mob4by)
chapter 6 estimating various characteristic values 240 epson standard cell s1k70000 series embedded array S1X70000 series z 1.5 v 0.1 v type type type type 1 1 1 1 voltages (v) 0 0.5 1 1.5 time (s) 0 5n 10n 15n 20n 25n 30n 35n 40n 45n 50n 55n 60n 65n 70n 75n 80n v dd = 1.5v, t a = 25 c, c l = 15pf figure 6-186 output waveform (mob1ay) voltages (v) 0 0.5 1 1.5 time (s) 0 5n 10n 15n 20n 25n 30n 35n 40n 45n 50n 55n 60n 65n 70n 75n 80n v dd = 1.5v, t a = 25 c, c l = 15pf figure 6-187 output waveform (mob1by) type type type type 2 2 2 2 voltages (v) 0 0.5 1 1.5 time (s) 0 5n 10n 15n 20n 25n 30n 35n 40n 45n 50n 55n 60n 65n 70n 75n 80n v dd = 1.5v, t a = 25 c, c l = 15pf figure 6-188 output waveform (mob2ay) voltages (v) 0 0.5 1 1.5 time (s) 0 5n 10n 15n 20n 25n 30n 35n 40n 45n 50n 55n 60n 65n 70n 75n 80n v dd = 1.5v, t a = 25 c, c l = 15pf figure 6-189 output waveform (mob2by)
chapter 6 estimating various characteristic values standard cell s1k70000 series epson 241 embedded array S1X70000 series type type type type 3 3 3 3 voltages (v) 0 0.5 1 1.5 time (s) 0 5n 10n 15n 20n 25n 30n 35n 40n 45n 50n 55n 60n 65n 70n 75n 80n v dd = 1.5v, t a = 25 c, c l = 15pf figure 6-190 output waveform (mob3ay) voltages (v) 0 0.5 1 1.5 time (s) 0 5n 10n 15n 20n 25n 30n 35n 40n 45n 50n 55n 60n 65n 70n 75n 80n v dd = 1.5v, t a = 25 c, c l = 15pf figure 6-191 output waveform (mob3by) type type type type 4 4 4 4 voltages (v) 0 0.5 1 1.5 time (s) 0 5n 10n 15n 20n 25n 30n 35n 40n 45n 50n 55n 60n 65n 70n 75n 80n v dd = 1.5v, t a = 25 c, c l = 15pf figure 6-192 output waveform (mob4ay) voltages (v) 0 0.5 1 1.5 time (s) 0 5n 10n 15n 20n 25n 30n 35n 40n 45n 50n 55n 60n 65n 70n 75n 80n v dd = 1.5v, t a = 25 c, c l = 15pf figure 6-193 output waveform (mob4by)
chapter 6 estimating various characteristic values 242 epson standard cell s1k70000 series embedded array S1X70000 series 6.4 input/output buffer characteristics (2.5-v buffers: x type) 6.4.1 input buffer characteristics standard-cell input buffers z 2.5 v 0.2 v 0 1 2 3 0.5 1 1.5 2 2.5 3 v out (v) hv dd = 2.7v hv dd = 2.5v hv dd = 2.3v v in (v) t a = 25 c figure 6-194 input characteristics (lvcmos) 0 1 2 3 123 v out (v) v in (v) hv dd = 2.7v hv dd = 2.5v hv dd = 2.3v t a = 25 c figure 6-195 input characteristics (lvcmos schmitt) z 1.8 v 0.15 v 0 0.5 1 1.5 2 2.5 0.5 1 1.5 2 2.5 t a = 25 c lv dd = 1.95v lv dd = 1.65v lv dd = 1.8v v out (v) v in (v) figure 6-196 input characteristics (lvcmos) 0 0.5 1 1.5 2 2.5 0.5 1 1.5 2 2.5 t a = 25 c lv dd = 1.95v lv dd = 1.65v lv dd = 1.8v v out (v) v in (v) figure 6-197 input characteristics (lvcmos schmitt)
chapter 6 estimating various characteristic values standard cell s1k70000 series epson 243 embedded array S1X70000 series z 1.5 v 0.1 v 0 0.5 1 1.5 2 0.5 1 1.5 2 t a = 25 c lv dd = 1.6v lv dd = 1 .4v lv dd = 1.5v v out (v) v in (v) figure 6-198 input characteristics (lvcmos) 0 0.5 1 1.5 2 0.5 1 1.5 2 t a = 25 c lv dd = 1.6v lv dd = 1.4v lv dd = 1.5v v out (v) v in (v) figure 6-199 input characteristics (lvcmos schmitt)
chapter 6 estimating various characteristic values 244 epson standard cell s1k70000 series embedded array S1X70000 series 6.4.1.1 input through current z 2.5 v 0.2 v currents (a) 0 200 400 600 800 1m 1.2m 1.4m 1.6m 1.8m 2m 2.2m voltage (v) 0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2 2.2 2.4 2.6 2.8 hibcx logic_level (hvdd/lvdd = 2.7v/1.95v) figure 6-200 input through current (lvcmos) currents (a) 0 200 400 600 800 1m 1.2m voltage (v) 0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2 2.2 2.4 2.6 2.8 hibhx logic_level (hvdd/lvdd = 2.7v/1.95v) figure 6-201 input through current (lvcmos schmitt)
chapter 6 estimating various characteristic values standard cell s1k70000 series epson 245 embedded array S1X70000 series z 1.8 v 0.15 v currents ( a) 0 100 200 300 400 500 600 700 800 voltage (v) 0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2 hibcx logic_level (hvdd/lvdd = 1.95v/1.95v) figure 6-202 input through current (lvcmos) currents ( a) 0 50 100 150 200 250 300 350 400 voltage (v) 0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2 hibhx logic_level (hvdd/lvdd = 1.95v/1.95v) figure 6-203 input through current (lvcmos schmitt)
chapter 6 estimating various characteristic values 246 epson standard cell s1k70000 series embedded array S1X70000 series z 1.5 v 0.1 v currents ( a) 0 20 40 60 80 100 120 140 160 180 voltage (v) 0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 hibcx logic_level (hvdd/lvdd = 1.6v/1.6v) figure 6-204 input through current (lvcmos) currents ( a) 0 20 40 60 80 100 120 140 voltage (v) 0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 hibhx logic_level (hvdd/lvdd = 1.6v/1.6v) figure 6-205 input through current (lvcmos schmitt)
chapter 6 estimating various characteristic values standard cell s1k70000 series epson 247 embedded array S1X70000 series 6.4.2 output buffer characteristics (1) list of output buffer specifications table 6-12 output current characteristics (x type) i oh * 1 * 1 * 1 * 1 /i ol *2 *2 *2 *2 type of output current hv dd = 2.5 v v dd or lv dd = 1.8 v v dd or lv dd = 1.5 v unit type 1 -2/2 -1.5/1.5 -1/1 ma type 2 -4/4 -3/3 -2/2 ma type 3 -8/8 -6/6 -4/4 ma type 4 -12/12 -9/9 -6/6 ma notes *1: v oh = power-supply voltage -0.4 v (power-supply voltage = 2.5 v, 1.8 v, or 1.5 v) *2: v ol = 0.4 v (power-supply voltage = 2.5 v, 1.8 v, or 1.5 v)
chapter 6 estimating various characteristic values 248 epson standard cell s1k70000 series embedded array S1X70000 series (2) i ol -v ol , i oh -v oh i ol -v ol z 2.5 v 0.2 v type 1 10 20 0 0.5 1.0 v ol (v) i ol (ma) t a = 25 c hv dd = 2.3v hv dd = 2.5v hv dd = 2.7v figure 6-206 type 2 25 50 0 0.5 1.0 t a = 25 c hv dd = 2.3v hv dd = 2.5v hv dd = 2.7v i ol (ma) v ol (v) figure 6-207 type 3 25 50 0 0.5 1.0 v ol (v) i ol (ma) t a = 25 c hv dd = 2.5v hv dd = 2.7v hv dd = 2.3v figure 6-208 type 4 50 100 0 0.5 1.0 v ol (v) i ol (ma) t a = 25 c hv dd = 2.3v hv dd = 2.5v hv dd = 2.7v figure 6-209 type 1 to 4 50 100 0 0.5 1.0 v ol (v) i ol (ma) type 2 hv dd = 2.5v type 3 type 4 type 1 t a = 25 c figure 6-210
chapter 6 estimating various characteristic values standard cell s1k70000 series epson 249 embedded array S1X70000 series z 1.8 v 0.15 v type 1 10 20 0 0.5 1.0 v ol (v) i ol (ma) t a = 25 c v dd = 1.65v v dd = 1.8v v dd = 1.95v figure 6-211 type 2 10 20 00.51.0 v ol (v) i ol (ma) t a = 25 c v dd = 1.65v v dd = 1.8v v dd = 1.95v figure 6-212 type 3 25 50 0 0.5 1.0 v ol (v) i ol (ma) t a = 25 c v dd = 1.65v v dd = 1.8v v dd = 1.95v figure 6-213 type 4 25 50 00.51.0 v ol (v) i ol (ma) t a = 25 c v dd = 1.65v v dd = 1.8v v dd = 1.95v figure 6-214 type 1 to 4 25 50 0 0.5 1.0 v ol (v) i ol (ma) t a = 25 c type 2 v dd = 1.8v type 3 type 4 type 1 figure 6-215
chapter 6 estimating various characteristic values 250 epson standard cell s1k70000 series embedded array S1X70000 series z 1.5 v 0.1 v type 1 5 10 0 0.5 1 v ol (v) i ol (ma) t a = 25 c v dd = 1.4v v dd = 1.5v v dd = 1.6v figure 6-216 type 2 10 20 0 0.5 1.0 v ol (v) i ol (ma) t a = 25 c v dd = 1.4v v dd = 1.5v v dd = 1.6v figure 6-217 type 3 10 20 0 0.5 1.0 v ol (v) i ol (ma) t a = 25 c v dd = 1.4v v dd = 1.5v v dd = 1.6v figure 6-218 type 4 25 50 0 0.5 1.0 v ol (v) i ol (ma) t a = 25 c v dd = 1.4v v dd = 1.5v v dd = 1.6v figure 6-219 type 1 to 4 25 50 0 0.5 1.0 v ol (v) i ol (ma) t a = 25 c type 2 v dd = 1.5v type 3 type 4 type 1 figure 6-220
chapter 6 estimating various characteristic values standard cell s1k70000 series epson 251 embedded array S1X70000 series i oh -v oh z 2.5 v 0.2 v type 1 -20 -10 -1.0 -0.5 0 v oh - hv dd (v) i oh (ma) t a = 25 c hv dd = 2.3v hv dd = 2.5v hv dd = 2.7v figure 6-221 type 2 -20 -10 -1.0 -0.5 0 t a = 25 c hv dd = 2.3v hv dd = 2.5v hv dd = 2.7v v oh - hv dd (v) i oh (ma) figure 6-222 type 3 -50 -25 -1.0 -0.5 0 t a = 25 c hv dd = 2.3v hv dd = 2.5v hv dd = 2.7v v oh - hv dd (v) i oh (ma) figure 6-223 v oh - hv dd (v) i oh (ma) type 4 -100 -50 -1.0 -0.5 0 t a = 25 c hv dd = 2.3v hv dd = 2.5v hv dd = 2.7v figure 6-224 type 1 to 4 -100 -50 0 0.5 1.0 v oh - hv dd (v) i oh (ma) t a = 25 c hv dd = 2.5v type 2 type 3 type 4 type 1 figure 6-225
chapter 6 estimating various characteristic values 252 epson standard cell s1k70000 series embedded array S1X70000 series z 1.8 v 0.15 v type 1 -20 -10 -1.0 -0.5 0 v oh - v dd (v) i oh (ma) t a = 25 c v dd = 1.65v v dd = 1.8v v dd = 1.95v figure 6-226 type 2 -20 -10 -1.0 -0.5 0 v oh - v dd (v) i oh (ma) t a = 25 c v dd = 1.65v v dd = 1.8v v dd = 1.95v figure 6-227 type 3 -50 -25 -1.0 -0.5 0 v oh - v dd (v) i oh (ma) t a = 25 c v dd = 1.65v v dd = 1.8v v dd = 1.95v figure 6-228 type 4 -50 -25 -1.0 -0.5 0 v oh - v dd (v) i oh (ma) t a = 25 c v dd = 1.65v v dd = 1.8v v dd = 1.95v figure 6-229 type 1 to 4 -50 -25 00.51.0 v oh - v dd (v) i oh (ma) t a = 25 c v dd = 1.8v type 2 type 3 type 4 t yp e 1 figure 6-230
chapter 6 estimating various characteristic values standard cell s1k70000 series epson 253 embedded array S1X70000 series z 1.5 v 0.1 v type 1 -10 -5 -1.0 -0.5 0 v oh - v dd (v) i oh (ma) t a = 25 c v dd = 1.4v v dd = 1.5v v dd = 1.6v figure 6-231 type 2 -10 -5 -1.0 -0.5 0 v oh - v dd (v) i oh (ma) t a = 25 c v dd = 1.4v v dd = 1.5v v dd = 1.6v figure 6-232 type 3 -20 -10 -1.0 -0.5 0 v oh - v dd (v) i oh (ma) t a = 25 c v dd = 1.4v v dd = 1.5v v dd = 1.6v figure 6-233 type 4 -50 -25 -1.0 -0.5 0 v oh - v dd (v) i oh (ma) t a = 25 c v dd = 1.4v v dd = 1.5v v dd = 1.6v figure 6-234 type 1 to 4 -50 -25 0 0.5 1.0 v oh -v dd (v) i oh (ma) t a = 25 c v dd = 1.5v type 2 type 3 type 4 type 1 figure 6-235
chapter 6 estimating various characteristic values 254 epson standard cell s1k70000 series embedded array S1X70000 series (3) i oh and i ol temperature characteristics z 2.5 v 0.2 v 0.6 0.7 0.8 0.9 1.0 1.1 1.2 1.3 1.4 -60 -40 -20 0 20 40 60 80 100 120 140 t a ( c) i ol (ratio) hv dd = 2.5v i ol = 1.0 (t a = 25 c) figure 6-236 ambient temperature (ta) vs. output current (i ol ) 0.6 0.7 0.8 0.9 1.0 1.1 1.2 1.3 1.4 -60 -40 -20 0 20 40 60 80 100 120 140 t a ( c ) i oh (ratio) hv dd = 2.5v i oh = 1.0 (t a = 25 c ) figure 6-237 ambient temperature (t a ) vs. outputcurrent (i oh ) z 1.8 v 0.15 v 0.6 0.7 0.8 0.9 1.0 1.1 1.2 1.3 1.4 -60 -40 -20 0 20 40 60 80 100 120 140 t a ( c ) i ol (ratio) v dd or lv dd = 1.8v i ol = 1.0 (t a = 25 c ) figure 6-238 ambient temperature (t a ) vs. output current (i ol ) 0.6 0.7 0.8 0.9 1.0 1.1 1.2 1.3 1.4 -60 -40 -20 0 20 40 60 80 100 120 140 t a ( c ) i oh (ratio) v dd or lv dd = 1.8v i oh = 1.0 (t a = 25 c ) figure 6-239 ambient temperature (t a ) vs. output current (i oh )
chapter 6 estimating various characteristic values standard cell s1k70000 series epson 255 embedded array S1X70000 series z 1.5 v 0.1 v 0.6 0.7 0.8 0.9 1.0 1.1 1.2 1.3 1.4 -60 -40 -20 0 20 40 60 80 100 120 140 t a ( c) i ol (ratio) v dd or lv dd = 1.5v i ol = 1.0 (t a = 25 c) figure 6-240 ambient temperature (t a ) vs. output current (i ol ) 0.6 0.7 0.8 0.9 1.0 1.1 1.2 1.3 1.4 -60 -40 -20 0 20 40 60 80 100 120 140 t a ( c ) i oh (ratio) v dd or lv dd = 1.5v i oh = 1.0 (t a = 25 c ) figure 6-241 ambient temperature (t a ) vs. output current (i oh )
chapter 6 estimating various characteristic values 256 epson standard cell s1k70000 series embedded array S1X70000 series (4) t phl -c l , t plh -c l z 2.5 v 0.2 v high speed 5 10 15 20 0 50 100 150 200 c l (pf) t plh (ns) hv dd = 2.5v v l = 1.25v t a = 25 c hob2ax hob3ax hob4ax hob1ax figure 6-242 output delay time (t plh ) vs. output load capacitance (c l ) high speed 5 10 15 20 0 50 100 150 200 c l (pf) t phl (ns) hv dd = 2.5v v l = 1.25v t a = 25 c hob2ax hob3ax hob4ax hob1ax figure 6-243 output delay time (t phl ) vs. output load capacitance (c l ) low noise 5 10 15 20 0 50 100 150 200 c l (pf) t plh (ns) hv dd = 2.5v v l = 1.25v t a = 25 c hob2bx hob3bx hob4bx hob1bx figure 6-244 output delay time (t plh ) vs. output load capacitance (c l ) low noise 5 10 15 20 0 50 100 150 200 c l (pf) t phl (ns) hv dd = 2.5v v l = 1.25v t a = 25 c hob2bx hob3bx hob4bx hob1bx figure 6-245 output delay time (t phl ) vs. output load capacitance (c l ) z 1.8 v 0.15 v high speed 5 10 15 20 0 50 100 150 200 c l (pf) t plh (ns) v dd = 1.8v v l = 0.9v t a = 25 c mob2ax mob3ax mob4ax mob1ax figure 6-246 output delay time (t plh ) vs. output load capacitance (c l ) high speed 5 10 15 20 0 50 100 150 200 c l (pf) t phl (ns) v dd = 1.8v v l = 0.9v t a = 25 c mob2ax mob3ax mob4ax mob1ax figure 6-247 output delay time (t phl ) vs. output load capacitance (c l )
chapter 6 estimating various characteristic values standard cell s1k70000 series epson 257 embedded array S1X70000 series low noise 5 10 15 20 0 50 100 150 200 c l (pf) t plh (ns) v dd = 1.8v v l = 0.9 t a = 25 c mob2bx mob4bx mob1bx mob3bx figure 6-248 output delay time (t plh ) vs. output load capacitance (c l ) low noise 5 10 15 20 0 50 100 150 200 c l (pf) t phl (ns) v dd = 1.8v v l = 0.9 t a = 25 c mob2bx mob3bx mob4bx mob1bx figure 6-249 output delay time (t phl ) vs. output load capacitance (c l ) z 1.5 v 0.1 v high speed 10 20 30 40 0 50 100 150 200 c l (pf) t plh (ns) v dd = 1.5v v l = 0.75v t a = 25 c mob2ax mob3ax mob4ax mob1ax figure 6-250 output delay time (t plh ) vs. output load capacitance (c l ) high speed 10 20 30 40 0 50 100 150 200 c l (pf) t phl (ns) mob2ax mob3ax mob4ax mob1ax v dd = 1.5v v l = 0.75v t a = 25 c figure 6-251 output delay time (t phl ) vs. output load capacitance (c l ) low noise 10 20 30 40 0 50 100 150 200 c l (pf) t plh (ns) mob2bx mob3bx mob4bx mob1bx v dd =1.5v v l = 0.75v t a = 25 c figure 6-252 output delay time (t plh ) vs. output load capacitance (c l ) low noise 10 20 30 40 0 50 100 150 200 c l (pf) t phl (ns) mob2bx mob3ax mob4bx mob1bx v dd = 1.5v v l = 0.75v t a = 25 c figure-253 output delay time (t phl ) vs. output load capacitance (c l )
chapter 6 estimating various characteristic values 258 epson standard cell s1k70000 series embedded array S1X70000 series (5) t r (10%-90%) -c l , t f ( 10%-90% ) -c l z 2.5 v 0.2 v high speed 10 20 30 40 0 50 100 150 200 c l (pf) t r (10%-90%) (ns) hv dd = 2.5v v l = 1.25v t a = 25 c hob2ax hob3ax hob4ax hob1ax figure 6-254 rising time (t r ) vs. output load capacitance (c l ) high speed 10 20 30 40 0 50 100 150 200 c l (pf) t f (10%-90%) (ns) hv dd = 2.5v v l = 1.25v t a = 25 c hob2ax hob3ax hob4ax hob1ax figure 6-255 falling time (t f ) vs. output load capacitance (c l ) low noise 10 20 30 40 0 50 100 150 200 c l (pf) t r (10%-90%) (ns) hv dd = 2.5v v l = 1.25v t a = 25 c hob2bx hob3bx hob4bx hob1bx figure 6-256 rising time (t r ) vs. output load capacitance (c l ) low noise 10 20 30 40 0 50 100 150 200 c l (pf) t f (10%-90%) (ns) hv dd = 2.5v v l = 1.25v t a = 25 c hob2bx hob3bx hob4bx hob1bx figure 6-257 falling time (t f ) vs. output load capacitance (c l ) z 1.8 v 0.15 v high speed 20 40 60 80 0 50 100 150 200 c l (pf) t r (10%-90%) (ns) v dd = 1.8v v l = 0.9v t a = 25 c mob2ax mob3ax mob4ax mob1ax figure 6-258 rising time (t r ) vs. output load capacitance (c l ) high speed 10 20 30 40 0 50 100 150 200 c l (pf) t f (10%-90%) (ns) v dd = 1.8v v l = 0.9v t a = 25 c mob2ax mob3ax mob4ax mob1ax figure 6-259 falling time (t f ) vs. output load capacitance (c l )
chapter 6 estimating various characteristic values standard cell s1k70000 series epson 259 embedded array S1X70000 series low noise 20 40 60 80 0 50 100 150 200 c l (pf) t r (10%-90%) (ns) v dd = 1.8v v l = 0.9v t a = 25 c mob3bx mob4bx mob1bx mob2bx figure 6-260 rising time (t r ) vs. output load capacitance (c l ) low noise 10 20 30 40 0 50 100 150 200 c l (pf) t f (10%-90%) (ns) v dd = 1.8v v l = 0.9v t a = 25 c mob2bx mob3bx mob4bx mob1bx figure 6-261 falling time (t f ) vs. output load capacitance (c l ) z 1.5 v 0.1 v high speed 20 40 60 80 0 50 100 150 200 c l (pf) t r (10%-90%) (ns) mob2ax mob3ax mob4ax mob1ax v dd = 1.5v v l = 0.75v t a = 25 c figure 6-262 rising time (t r ) vs. output load capacitance (c l ) high speed 10 20 30 40 0 50 100 150 200 c l (pf) t f (10%-90%) (ns) mob2ax mob4ax mob1ax v dd = 1.5v v l = 0.75v t a = 25 c mob3ax figure 6-263 falling time (t f ) vs. output load capacitance (c l ) low noise 20 40 60 80 0 50 100 150 200 c l (pf) t r (10%-90%) (ns) mob2bx mob3bx mob4bx mob1bx v dd = 1.5v v l = 0.75v t a = 25 c figure 6-264 rising time (t r ) vs. output load capacitance (c l ) low noise 10 20 30 40 0 50 100 150 200 c l (pf) t f (10%-90%) (ns) mob2bx mob3bx mob4bx mob1bx v dd = 1.5v v l = 0.75v t a = 25 c figure 6-265 falling time (t f ) vs. output load capacitance (c l )
chapter 6 estimating various characteristic values 260 epson standard cell s1k70000 series embedded array S1X70000 series (6) recommended range of load capacitances for output buffers table 6-13 lists the recommended range of load capacitances for output buffers, classified by drive capability (consult table 6-13 to select the most suitable output buffer for your design). note that if output buffers are used with a smaller load capacitance beyond the recommended range of load capacitances, the output signal may have larger overshoots or undershoots. table 6-13 recommended range of output buffer load capacitances type of output buffer example recommended range of load capacitances (pf) type 1 mob1atx 0?40 type 2 mob2atx 20?100 type 3 mob3atx 50?150 type 4 mob4atx 100?200 (7) fmax-c l z 2.5 v 0.2 v high speed high speed high speed high speed 1 10 100 1000 0 20 40 60 80 100 120 140 160 180 200 220 c l (pf) fmax (mhz) figure 6-266 output operating frequency ?hob1ax? 1 10 100 1000 0 20 40 60 80 100 120 140 160 180 200 220 c l (pf) fmax (mhz) figure 6-267 output operating frequency ?hob2ax?
chapter 6 estimating various characteristic values standard cell s1k70000 series epson 261 embedded array S1X70000 series 1 10 100 1000 0 20 40 60 80 100 120 140 160 180 200 220 c l (pf) fmax (mhz) figure 6-268 output operating frequency ?hob3ax? 1 10 100 1000 0 20 40 60 80 100 120 140 160 180 200 220 c l (pf) fmax (mhz) figure 6-269 output operating frequency ?hob4ax? low noise low noise low noise low noise 1 10 100 1000 0 20 40 60 80 100 120 140 160 180 200 220 c l (pf) fmax (mhz) figure 6-270 output operating frequency ?hob1bx? 1 10 100 1000 0 20 40 60 80 100 120 140 160 180 200 220 c l (pf) fmax (mhz) figure 6-271 output operating frequency ?hob2bx? 1 10 100 1000 0 20 40 60 80 100 120 140 160 180 200 220 c l (pf) fmax (mhz) figure 6-272 output operating frequency ?hob3bx? 1 10 100 1000 0 20 40 60 80 100 120 140 160 180 200 220 c l (pf) fmax (mhz) figure 6-273 output operating frequency ?hob4bx?
chapter 6 estimating various characteristic values 262 epson standard cell s1k70000 series embedded array S1X70000 series z 1.8 v 0.15 v high high high high speed speed speed speed 1 10 100 1000 0 20 40 60 80 100 120 140 160 180 200 220 c l (pf) fmax (mhz) figure 6-274 output operating frequency ?mob1ax 1 10 100 1000 0 20 40 60 80 100 120 140 160 180 200 220 c l (pf) fmax (mhz) figure 6-275 output operating frequency ?mob2ax 1 10 100 1000 0 20 40 60 80 100 120 140 160 180 200 220 c l (pf) fmax (mhz) figure 6-276 output operating frequency ?mob3ax 1 10 100 1000 0 20 40 60 80 100 120 140 160 180 200 220 c l (pf) fmax (mhz) figure 6-277 output operating frequency ?mob4ax
chapter 6 estimating various characteristic values standard cell s1k70000 series epson 263 embedded array S1X70000 series low noise low noise low noise low noise 1 10 100 1000 0 20 40 60 80 100 120 140 160 180 200 220 c l (pf) fmax (mhz) figure 6-278 output operating frequency ?mob1bx 1 10 100 1000 0 20 40 60 80 100 120 140 160 180 200 220 c l (pf) fmax (mhz) figure 6-279 output operating frequency ?mob2bx 1 10 100 1000 0 20 40 60 80 100 120 140 160 180 200 220 c l (pf) fmax (mhz) figure 6-280 output operating frequency ?mob3bx 1 10 100 1000 0 20 40 60 80 100 120 140 160 180 200 220 c l (pf) fmax (mhz) figure 6-281 output operating frequency ?mob4bx
chapter 6 estimating various characteristic values 264 epson standard cell s1k70000 series embedded array S1X70000 series z 1.5 v 0.1 v high speed high speed high speed high speed 1 10 100 1000 0 20 40 60 80 100 120 140 160 180 200 220 c l (pf) fmax (mhz) figure 6-282 output operating frequency ?mob1ax 1 10 100 1000 0 20 40 60 80 100 120 140 160 180 200 220 c l (pf) fmax (mhz) figure 6-283 output operating frequency ?mob2ax 1 10 100 1000 0 20 40 60 80 100 120 140 160 180 200 220 c l (pf) fmax (mhz) figure 6-284 output operating frequency ?mob3ax 1 10 100 1000 0 20 40 60 80 100 120 140 160 180 200 220 c l (pf) fmax (mhz) figure 6-285 output operating frequency ?mob4ax
chapter 6 estimating various characteristic values standard cell s1k70000 series epson 265 embedded array S1X70000 series low noise low noise low noise low noise 1 10 100 1000 0 20 40 60 80 100 120 140 160 180 200 220 c l (pf) fmax (mhz) figure 6-286 output operating frequency ?mob1bx 1 10 100 1000 0 20 40 60 80 100 120 140 160 180 200 220 c l (pf) fmax (mhz) figure 6-287 output operating frequency ?mob2bx 1 10 100 1000 0 20 40 60 80 100 120 140 160 180 200 220 c l (pf) fmax (mhz) figure 6-288 output operating frequency ?mob3bx 1 10 100 1000 0 20 40 60 80 100 120 140 160 180 200 220 c l (pf) fmax (mhz) figure 6-289 output operating frequency ?mob4bx
chapter 6 estimating various characteristic values 266 epson standard cell s1k70000 series embedded array S1X70000 series (8) pull-up/pull-down characteristics z 2.5 v 0.2 v pull-up characteristics pull-up characteristics pull-up characteristics pull-up characteristics 0 50 100 150 200 250 2.1 2.3 2.5 2.7 2.9 hv dd (v) r plu (k ? ) t a = 25 c type 1 type 2 figure 6-290 pull-up resistance (r plu ) vs. hv dd 0 50 100 150 200 250 -60 -40 -20 0 20 40 60 80 100 120 140 t a ( c) r plu (k ? ) type 1 type 2 hv dd = 2.5v figure 6-291 pull-up resistance (r plu ) vs. ambient temperature (t a ) pull-down characteristics pull-down characteristics pull-down characteristics pull-down characteristics 0 50 100 150 200 2.1 2.3 2.5 2.7 2.9 hv dd (v) r pld (k ? ) t a = 25 c type 1 type 2 figure 6-292 pull-down resistance (r pld ) vs. hv dd 0 50 100 150 200 -60 -40 -20 0 20 40 60 80 100 120 140 t a ( c) r pld (k ? ) type 1 type 2 hv dd = 2.5v figure 6-293 pull-down resistance (r pld ) vs. ambient temperature (t a ) z 1.8 v 0.15 v pull-up characteristics pull-up characteristics pull-up characteristics pull-up characteristics 0 50 100 150 200 1.4 1.6 1.8 2 2.2 v dd or lv dd (v) r plu (k ? ) t a = 25 c type 2 type 1 figure 6-294 pull-up resistance (r plu ) vs. v dd (lv dd ) 0 50 100 150 200 -60 -40 -20 0 20 40 60 80 100 120 140 t a ( c) r plu (k ? ) type 1 type 2 v dd or lv dd = 1.8v figure 6-295 pull-up resistance (r plu ) vs. ambient temperature (t a )
chapter 6 estimating various characteristic values standard cell s1k70000 series epson 267 embedded array S1X70000 series pull-down characteristics pull-down characteristics pull-down characteristics pull-down characteristics 0 50 100 150 200 1.4 1.6 1.8 2 2.2 v dd or lv dd (v) r pld (k ? ) t a = 25 c type 1 type 2 figure 6-296 pull-down resistance (r pld ) vs. v dd (lv dd ) 0 50 100 150 200 -60 -40 -20 0 20 40 60 80 100 120 140 t a ( c) r pld (k ? ) type 1 type 2 v dd or lv dd = 1.8v figure 6-297 pull-down resistance (r pld ) vs. ambient temperature (t a ) z 1.5 v 0.1 v pull-up characteristics pull-up characteristics pull-up characteristics pull-up characteristics 0 50 100 150 200 250 300 1.2 1.3 1.4 1.5 1.6 1.7 1.8 v dd or lv dd (v) r plu (k ? ) t a = 25 c type 1 type 2 figure 6-298 pull-up resistance (r plu ) vs. v dd (lv dd ) 0 50 100 150 200 250 300 -60 -40 -20 0 20 40 60 80 100 120 140 t a ( c) r plu (k ? ) type 1 type 2 v dd or lv dd = 1.5v figure 6-299 pull-up resistance (r plu ) vs. ambient temperature (t a ) pull-down characteristics pull-down characteristics pull-down characteristics pull-down characteristics 0 50 100 150 200 1.2 1.3 1.4 1.5 1.6 1.7 1.8 v dd or lv dd (v) r pld (k ? ) t a = 25 c type 1 type 2 figure 6-300 pull-down resistance (r pld ) vs. v dd (lv dd ) 0 50 100 150 200 -60 -40 -20 0 20 40 60 80 100 120 140 t a ( c) r pld (k ? ) type 1 type 2 v dd or lv dd = 1.5v figure 6-301 pull-down resistance (r pld ) vs. ambient temperature (t a )
chapter 6 estimating various characteristic values 268 epson standard cell s1k70000 series embedded array S1X70000 series (9) output waveforms z 2.5 v 0.2 v type 1 type 1 type 1 type 1 voltages (v) 0 2 time (s) 0 5n 10n 15n 20n 25n 30n 35n 40n 45n 50n 55n 60n 65n 70n 75n 80n hv dd /lv dd = 2.5v/1.8v, t a = 25 c, c l = 15pf figure 6-302 output waveform (hob1ax) voltages (v) 0 2 time (s) 0 5n 10n 15n 20n 25n 30n 35n 40n 45n 50n 55n 60n 65n 70n 75n 80n hv dd /lv dd = 2.5v/1.8v, t a = 25 c, c l = 15pf figure 6-303 output waveform (hob1bx) type 2 type 2 type 2 type 2 voltages (v) 0 2 time (s) 0 5n 10n 15n 20n 25n 30n 35n 40n 45n 50n 55n 60n 65n 70n 75n 80n hv dd /lv dd = 2.5v/1.8v, t a = 25 c, c l = 15pf figure 6-304 output waveform (hob2ax) voltages (v) 0 2 time (s) 0 5n 10n 15n 20n 25n 30n 35n 40n 45n 50n 55n 60n 65n 70n 75n 80n hv dd /lv dd = 2.5v/1.8v, t a = 25 c, c l = 15pf figure 6-305 output waveform (hob2bx)
chapter 6 estimating various characteristic values standard cell s1k70000 series epson 269 embedded array S1X70000 series type 3 type 3 type 3 type 3 voltages (v) 0 2 time (s) 0 5n 10n 15n 20n 25n 30n 35n 40n 45n 50n 55n 60n 65n 70n 75n 80n hv dd /lv dd = 2.5v/1.8v, t a = 25 c, c l = 15pf figure 6-306 output waveform (hob3ax) voltages (v) 0 2 time (s) 0 5n 10n 15n 20n 25n 30n 35n 40n 45n 50n 55n 60n 65n 70n 75n 80n hv dd /lv dd = 2.5v/1.8v, t a = 25 c, c l = 15pf figure 6-307 output waveform (hob3bx) type 4 type 4 type 4 type 4 voltages (v) 0 2 time (s) 0 5n 10n 15n 20n 25n 30n 35n 40n 45n 50n 55n 60n 65n 70n 75n 80n hv dd /lv dd = 2.5v/1.8v, t a = 25 c, c l = 15pf figure 6-308 output waveform (hob4ax) voltages (v) 0 2 time (s) 0 5n 10n 15n 20n 25n 30n 35n 40n 45n 50n 55n 60n 65n 70n 75n 80n hv dd /lv dd = 2.5v/1.8v, t a = 25 c, c l = 15pf figure 6-309 output waveform (hob4bx)
chapter 6 estimating various characteristic values 270 epson standard cell s1k70000 series embedded array S1X70000 series z 1.8 v 0.15 v type 1 type 1 type 1 type 1 voltages (v) 0 1 2 time (s) 0 5n 10n 15n 20n 25n 30n 35n 40n 45n 50n 55n 60n 65n 70n 75n 80n v dd = 1.8v, t a = 25 c, c l = 15pf figure 6-310 output waveform (mob1ax) voltages (v) 0 1 2 time (s) 0 5n 10n 15n 20n 25n 30n 35n 40n 45n 50n 55n 60n 65n 70n 75n 80n v dd = 1.8v, t a = 25 c, c l = 15pf figure 6-311 output waveform (mob1bx) type 2 type 2 type 2 type 2 voltages (v) 0 1 2 time (s) 0 5n 10n 15n 20n 25n 30n 35n 40n 45n 50n 55n 60n 65n 70n 75n 80n v dd = 1.8v, t a = 25 c, c l = 15pf figure 6-312 output waveform (mob2ax) voltages (v) 0 1 2 time (s) 0 5n 10n 15n 20n 25n 30n 35n 40n 45n 50n 55n 60n 65n 70n 75n 80n v dd = 1.8v, t a = 25 c, c l = 15pf figure 6-313 output waveform (mob2bx)
chapter 6 estimating various characteristic values standard cell s1k70000 series epson 271 embedded array S1X70000 series type 3 type 3 type 3 type 3 voltages (v) 0 1 2 time (s) 0 5n 10n 15n 20n 25n 30n 35n 40n 45n 50n 55n 60n 65n 70n 75n 80n v dd = 1.8v, t a = 25 c, c l = 15pf figure 6-314 output waveform (mob3ax) voltages (v) 0 1 2 time (s) 0 5n 10n 15n 20n 25n 30n 35n 40n 45n 50n 55n 60n 65n 70n 75n 80n v dd = 1.8v, t a = 25 c, c l = 15pf figure 6-315 output waveform (mob3bx) type 4 type 4 type 4 type 4 voltages (v) 0 1 2 time (s) 0 5n 10n 15n 20n 25n 30n 35n 40n 45n 50n 55n 60n 65n 70n 75n 80n v dd = 1.8v, t a = 25 c, c l = 15pf figure 6-316 output waveform (mob4ax) voltages (v) 0 1 2 time (s) 0 5n 10n 15n 20n 25n 30n 35n 40n 45n 50n 55n 60n 65n 70n 75n 80n v dd = 1.8v, t a = 25 c, c l = 15pf figure 6-317 output waveform (mob4bx)
chapter 6 estimating various characteristic values 272 epson standard cell s1k70000 series embedded array S1X70000 series z 1.5 v 0.1 v type 1 type 1 type 1 type 1 voltages (v) 0 0.5 1 1.5 time (s) 0 5n 10n 15n 20n 25n 30n 35n 40n 45n 50n 55n 60n 65n 70n 75n 80n v dd = 1.5v, t a = 25 c, c l = 15pf figure 6-318 output waveform (mob1ax) voltages (v) 0 0.5 1 1.5 time (s) 0 5n 10n 15n 20n 25n 30n 35n 40n 45n 50n 55n 60n 65n 70n 75n 80n v dd = 1.5v, t a = 25 c, c l = 15pf figure 6-319 output waveform (mob1bx) type 2 type 2 type 2 type 2 voltages (v) 0 0.5 1 1.5 time (s) 0 5n 10n 15n 20n 25n 30n 35n 40n 45n 50n 55n 60n 65n 70n 75n 80n v dd = 1.5v, t a = 25 c, c l = 15pf figure 6-320 output waveform (mob2ax) voltages (v) 0 0.5 1 1.5 time (s) 0 5n 10n 15n 20n 25n 30n 35n 40n 45n 50n 55n 60n 65n 70n 75n 80n v dd = 1.5v, t a = 25 c, c l = 15pf figure 6-321 output waveform (mob2bx)
chapter 6 estimating various characteristic values standard cell s1k70000 series epson 273 embedded array S1X70000 series type 3 type 3 type 3 type 3 voltages (v) 0 0.5 1 1.5 time (s) 0 5n 10n 15n 20n 25n 30n 35n 40n 45n 50n 55n 60n 65n 70n 75n 80n v dd = 1.5v, t a = 25 c, c l = 15pf figure 6-322 output waveform (mob3ax) voltages (v) 0 0.5 1 1.5 time (s) 0 5n 10n 15n 20n 25n 30n 35n 40n 45n 50n 55n 60n 65n 70n 75n 80n v dd = 1.5v, t a = 25 c, c l = 15pf figure 6-323 output waveform (mob3bx) type 4 type 4 type 4 type 4 voltages (v) 0 0.5 1 1.5 time (s) 0 5n 10n 15n 20n 25n 30n 35n 40n 45n 50n 55n 60n 65n 70n 75n 80n v dd = 1.5v, t a = 25 c, c l = 15pf figure 6-324 output waveform (mob4ax) voltages (v) 0 0.5 1 1.5 time (s) 0 5n 10n 15n 20n 25n 30n 35n 40n 45n 50n 55n 60n 65n 70n 75n 80n v dd = 1.5v, t a = 25 c, c l = 15pf figure 6-325 output waveform (mob4bx)
chapter 7 circuit design 274 epson standard cell s1k70000 series embedded array S1X70000 series chapter 7 circuit design 7.1 basic circuit configuration 7.1.1 inserting input/output buffers signals outside and inside an lsi can only be exchanged via input/output buffers. always be sure to insert input or output buffers between the external pins and the internal cells of an lsi. this is necessary because cmos lsis are extremely susceptible to static electricity, and the input/output buffers contain a circuit that protects them against static electricity. 7.1.2 limitations on logic gate output load cmos circuits are such that, as the load capa citance of the output increases, so does the propagation delay time of signals (t pd ). at the same time, the rise and fall times of signal waveforms (t slew ) increase. if logic gates have an excessively large output load capacitance, signal delay may concentrate at a specific circuit node, thereby limiting the operating speed or deteriorating the simulation accuracy of the logic gate?s propagation delay time, which in turn could cause the logic gate to operate erratically. furthermore, because the change period of signals is extended, the logic gate may become susceptible to noise. to ensure that logic gates have an appropriate load in the circuit design stage, limitations known as ?fan-out? limits are provided to limit the load that can be connected to the logic gate. the input pins of logic gates each have a specific input capacitance defined as the ?fan-in,? which is a relative quantity referenced to the input capacitance of inverter cell (in1) =1. on the other hand, fan-out limits are expressed as the sum total of fan-in counts, which can be connected to the output pin of each logic gate. in the design of your circuit, make sure the sum total of the fan-in counts connected to the output pin of each logic gate will not exceed the fan-out limits for that output pin. for logic gates such as clock lines that operate at high speed (operating frequency of 60 mhz or higher), make sure the load on their output pins is appr oximately half the ordinary fan-out limits. the output-pin load capacitance of logic gates in an actual lsi consists of the input capacitance of gates in the next stage plus th e wiring capacitance of signals. because the exact wiring capacitance is determined thro ugh placement and routing in the circuit, a large load capacitance may be applied to specific nodes during placement and routing, depending on how the work is performed. the load condition at each circuit node can be verified from the output results of t slew . if the output results suggest that the load condition exceeds the rated value, customers may be requested to correct the circuit in order to suppress the load to within the limits. to suppress increases in load capacitance after placement and routing work has been performed, minimize circuit branches at a single node or, if branching, use buffers with large fan-out. 7.1.3 wired logic forbidden because the s1k70000-series cells use cmos transistors, they cannot be configured with wired logic as in bipolar transistors. as a result, the output pins of cells cannot be connected together, as shown in figure 7-1. only in the bus circuit configuration is it possible to connect the output pins together.
chapter 7 circuit design standard cell s1k70000 series epson 275 embedded array S1X70000 series figure 7-1 examples forbidden wired logic 7.1.4 synchronized design recommended for the logic circuit design, we recommend synchronized design in which all registers are basically clocked from a common timing signal source. synchronized design provides numerous advantages. for example, it is suitable for high-speed circuits because register-to-register operations can easily be ti med. it can make use of various eda tools, such as clock tree synthesis, dft, and sta. in addition, because it does not depend on technology-inherent characteristics, circuits can easily be reused. ideally speaking, synchronized circuits have the following characteristics: 1. all registers in the circuit operate with either the rising or falling edge of a single clock signal. 2. no feedback loops are based on a combinational circuit (see figure 7-2). 3. no pulse generator circuits that make use of a circuit delay are incl uded (see figure 7-3). 4. other than the system reset, no asynchronous resets are used (this also applies to asynchronous sets). although in reality it may be difficult to design a circuit in which registers are clocked by a single clock signal, we recommend using as few clock signals as possible. the greater the number of clock signals used and th e greater the complexity of the mutual relationships between them, the more time is required for circuit design, including the operation of said eda tools, and the less likely it is to obtain satisfactory output results. figure 7-2 example of a feedback loop figure 7-3 example of a delay-based pulse-generator circuit
chapter 7 circuit design 276 epson standard cell s1k70000 series embedded array S1X70000 series 7.2 use of differentiating circuits forbidden the propagation delay time of each element in an lsi, t pd , varies depending on the working environment (e.g., voltage and temper ature) and manufacturing conditions. for this reason, care must be taken in the use of differentiating circuits that make use of the difference in relative t pd times(see figure 7-4), as a sufficient pulse width may not be obtained depending on the working environment and manufacturing conditions, causing the circuit to operate erratically. when a differentiating circuit is needed, avoid using the circuit shown in figure 7-4 and, instead, use a circuit built with ffs like the one shown in figure 7-5. figure 7-4 example of a bad differentiating circuit clk ck d q xq ck d q xq figure 7-5 example of a differentiating circuit built with ffs
chapter 7 circuit design standard cell s1k70000 series epson 277 embedded array S1X70000 series 7.3 clock tree synthesis 7.3.1 overview clock tree synthesis is a service that allows a tree of buffers to be inserted automatically in order to optimize the skew and delay values of clock lines. when circuits are designed by customers, they often insert clock trees as a means of adjusting the fan-out of clock lines or for other purposes. in such a case, because clock trees are placed and routed so as to be suitable for the placement and routing tool, the clock skew and wiring delay tend to increase. therefore, we recommend that buffers not be inserted in clock lines for fan-out adjustment purposes; instead, we re commend that you receive this service from epson. for circuits that also contain gated cells (sim ple gates) in clock lines, clock tree synthesis helps optimize the skew and delay values of clock lines. before clock tree synthesis can be applied, customers are requested to insert dedicated buffers or dedicated gated cells in cloc k lines for the following three purposes: (1) to determine the location at which clock tree synthesis is applied (2) to perform temporary wiring-level simulation (pre-simulation) using the predicted delay values of clock trees to be inserted (3) to back-annotate after replacing the inserted clock trees with delay information, in order to perform precise post-simulation
chapter 7 circuit design 278 epson standard cell s1k70000 series embedded array S1X70000 series 7.3.2 design flow clock tree synthesis checksheet initial netlist pin layout table or ppd p r clock tree synthesis post-p&r netlist * sdf post-simulation post-simulation result post-simulation result confirmed ok ng circuit change circuit verification netlist after circuit change pin layout table or ppd eco ( enginnering change order ) post-p&r netlist * signoff (eco is a method for performing placement and routing only in locations where the circuit has been changed.) customer epson [notes] ? the post-p&r netlist contains buffers that have been added in clock tree synthesis. ? post-simulation uses the netlist and sdf, which contain the buffers that have been added in clock tree synthesis. ? if the result of post-simulation is no good (ng), correct the post-p&r netlist. if the initial netlist has been corrected, p&r must be reexecuted. ? if circuit changes are made to the clock net part (dedicated buffer, dedicated gated cell, and def), p&r must basically be reexecuted. if it is necessary to change the clock net part, please consult with epson.
chapter 7 circuit design standard cell s1k70000 series epson 279 embedded array S1X70000 series 7.3.3 applying clock tree synthesis refer to table 7-2, ?dedicated buffers,? fo r the selection of clock tree synthesis-only buffers, and to table 7-3, ?cell names of dedicated gated cells,? for the selection of clock tree synthesis-only gated cells. in addition, after gaining an understanding of the limitations and notes in section 7.3.4, re fer to reference circuit diagram 1 when inserting the dedicated buffers or dedicated gated cells that you?ve selected. for logic-synthesis-based design, because the dedicated buffers and dedicated gated cells cannot be automatically inserted, use direct language descriptions. in such a case, to ensure that the clock line in which dedicated buffers or dedicated gated cells have been inserted will not have other buffers or the like synthesized in it, execute the following command in the design compiler: set_dont_touch_net net_name table 7-1 criteria for appropriate skew values standard fan-out counts without gated cells with gated cells 0?500 200ps 300ps 500?3000 250ps 400ps 3000?10000 300ps 500ps 10000? 350ps 600ps notes: ? the criteria for appropriate skew values change ac cording to the circuit size, wiring congestion, and number of clock lines. ? make sure the number of gated cells inserted is not more than 20, and that the number of stages does not exceed three. ? the above criteria for appropriate skew values when gat ed cells are included apply to cases in which not more than 20 gated cells are inserted and there are not more than three stages. ? if more gated cells are inserted so as to exceed t he limit of three stages, skew-derived timing errors may occur during post-simulation. to avoid delays in dev elopment schedules, try to minimize the number of gated cells used.
chapter 7 circuit design 280 epson standard cell s1k70000 series embedded array S1X70000 series table 7-2 dedicated buffers s1k/S1X70000 series cell name sea of gate cell based t 0 max ns standard fan-out counts l*crbf2 k*crbf2 2.00 0?500 l*crbf3 k*crbf3 3.00 500?3000 l*crbf4 k*crbf4 4.00 3000?10000 l*crbf5 k*crbf5 5.00 10000? l*crbf6 k*crbf6 6.00 l*crbf7 k*crbf7 7.00 l*crbf8 k*crbf8 8.00 an asterisk (*) represents a character that varies by type of transistor. see the table below. transistor type standard 1 high-performance low-leakage standard 2 character of * 1 2 3 4 notes: ? the pre-simulation-time k val ue (delay values due to fan-out) for these cells is set to 0. ? the fan-out counts for these cells are set to infinite. ? the delay values relative to the fan-out counts fluctuate depending on the design size and usage efficiency. therefore, use them for referenc e purposes only in the design of a circuit. table 7-3 cell names of dedicated gated cells (sea of gate) sea of gate circuit configuration (function) standard 1 high- performance low-leakage standard 2 and l1cad2x4 l2cad2x4 l3cad2x4 l4cad2x4 or l1cor2x4 l2cor2x4 l3cor2x4 l4cor2x4 2-1 selector l1cao24ax4 l2cao 24ax4 l3cao24ax4 l4cao24ax4 nand l1cna2x4 l2cna2x4 l3cna2x4 l4cna2x4 nor l1cno2x4 l2cno2x4 l3cno2x4 l4cno2x4 2-1 selector l1can24ax1 l2can 24ax1 l3can24ax1 l4can24ax1 inverter l1cginx4 l2cginx4 l3cginx4 l4cginx4
chapter 7 circuit design standard cell s1k70000 series epson 281 embedded array S1X70000 series table 7-4 cell names of dedicated gated cells (cell-based) cell based circuit configuration (function) standard 1 high- performance low-leakage standard 2 and k1cad2x4 k2cad2x4 k3cad2x4 k4cad2x4 or k1cor2x4 k2cor2x4 k3cor2x4 k4cor2x4 2-1 selector k1cao24ax4 k2cao24ax4 k3cao24ax4 k4cao24ax4 nand k1cna2x4 k2cna2x4 k3cna2x4 k4cna2x4 nor k1cno2x4 k2cno2x4 k3cno2x4 k4cno2x4 2-1 selector k1can24ax1 k2can 24ax1 k3can24ax1 k4can24ax1 inverter k1cginx4 k2cginx4 k3cginx4 k4cginx4 notes: ? the pre-simulation time delay value (t 0 ) for these cells is set to 0. ? the pre-simulation time k val ue (delay values due to fan-out) for these cells is set to 0. ? the fan-out counts for these cells are set to infinite. 7.3.4 limitations and notes ? when clock tree synthesis is applied, the numbe r of gates in the circuit for which it is applied increases by approximately 10% to 30%. ? if a large number of gated cells are inserted, skew-derived timing errors may occur during post-simulation. to avoid causing delays in development schedules, try to minimize the number of gated cells used. ? the dedicated buffers and dedicated gated cells can only be used in clock tree synthesis, and cannot be used for any other purposes. ? clock tree synthesis can also be used for data lines and control, or for other signal lines. however, applying clock tree synthesis to a large number of nets results in an increase in the skew or delay. therefore, make sure clock tree synthesis is not applied to more than 10 nets, and that it is applied only to critical nets with large fan-out. ? if clock tree synthesis is applied to nets with small fan-out, the delay or skew may increase. make sure clock tree synthesis is applied only to nets with a fan-out of several tens or more. ? if the clock line contains any cell other th an the dedicated gated cells, skew may occur during pre-simulation. therefore, make sure only the dedicated gated cells are inserted in the clock line. ? always be sure to use the dedicated gated cells in combination with the dedicated buffers. note that if only the dedicated gated cells are used inadvertently, the skew and delay values cannot be optimized. ? as the number of dedicated gated cells inserted in one clock net increases, so do the skew and delay values. therefore, limit the number of dedicated gated cells inserted in one clock net to a maximum of 20.
chapter 7 circuit design 282 epson standard cell s1k70000 series embedded array S1X70000 series ? as the number of stages comprised of dedicated gated cells increases, so do the skew and delay values. therefore, limit the number of stages comprised of dedicated gated cells to a maximum of three. ? skew adjustment, by default, is applied to cells such as dffs and latch cells that contain clock pins. if skew adjustment is required for other than dffs and latch cells, i.e., cells without clock pins, please contact epson. ? if the net for which clock tree synthesis is used is connected to megacell input pins, skew adjustment is not applied beyond the megacell input pins. ? do not insert the dedicated buffers in two or more stages. note that if the clock net contains dedicated buffers, the skew and delay cannot be optimized. 7.3.5 clock tree synthesis checksheet when applying clock tree synthesis, customers are requested to provide epson with the following information. your cooperation is appreciated. ! target skew value and target delay value instance name of crbf* target skew value (max.) (sim condition: max.) target delay value (min./max.) (sim condition: max.) notes: ? the target values are used for reference pur poses only when they are applied to clock tree synthesis, and cannot be guaranteed to be satisfied. 1. is the number of clock lines within 10? yes no 2. does the clock net contain dedicated gated cells? yes no if you answered yes to both of the above two questions, please answer questions 3 to 8 below. 3. is the number of dedicated gated cells included in each clock net within 20? yes no 4. is the number of stages comprised of dedicated gated cells within 3? yes no 5. does the clock net contain dedicated buffers? yes no 6. does the clock net contain any cell other than the dedicated gated cells? yes no if yes, write the cell name below. [notes] ? if 3-input ands are handled as special gated cells, for example, the 3-input ands in all clock lines are handled as special gated cells. ? dffs and latches cannot be handled as special gated cells.
chapter 7 circuit design standard cell s1k70000 series epson 283 embedded array S1X70000 series 7. do you want skew adjustment to be applied to other than dffs and latches? yes no if yes, specify the cell names and input-pin names. cell name: pin name: cell name: pin name: [notes] if you specify inverters to be skew-adjusted, for example, the inverter cells in all clock lines are adjusted for skew. 8. does any circuit configuration like reference circuit diagram 2 included herein exist? yes no [notes] the clock net for both dffs, one in part a and one in part b of the diagram, cannot be optimized for skew. if the dffs in both parts a and b must be adjusted for skew, add dummy cells ?l1cao24ax4? as shown in reference circuit diagram 2. 9. does any circuit configuration like reference circuit diagram 3 included herein exist? yes no [notes] the dff in part a of the diagram is driven from both clock roots a and b. the dff in part a cannot be adjusted for skew in both clock roots a and b. the ?crbf? for clock root b in reference circuit diagram 3 must be deleted.
chapter 7 circuit design 284 epson standard cell s1k70000 series embedded array S1X70000 series 7.3.6 attached materials 7.3.6.1 concept of the implementation of clock tree synthesis d c q xq r l1dfrx d c q xq r l1dfrx d c q xq r l1dfrx d c q xq r l1dfrx v l1cad2x4 l1crbf2 d c q xq r l1dfrx d c q xq r l1dfrx d c q xq r l1dfrx d c q xq r l1dfrx v l1cad2x4 l1crbf2 before clock tree synthesis clock root clock tree synthesis optimizes the skew value for the thick-lined parts. a fter clock tree synthesis clock root figure 7-6 reference circuit diagram 1 when clock tree synthesis is applied, buffers ar e inserted within the dotted circles of the above circuit.
chapter 7 circuit design standard cell s1k70000 series epson 285 embedded array S1X70000 series 7.3.6.2 example of handling of a problem circuit?1 original circuit clock tree synthesis cannot be executed for the dffs connected in the thick-lined part, a s they are driven from both clock roots a and b. clock root a d c q xq r l1dfrx l1crbf2 d c q xq r l1dfrx d c q xq r l1dfrx v l1cao24ax4 l1crbf2 d c q xq r l1dfrx d c q xq r l1dfrx l1crbf2 d c q xq r l1dfrx d c q xq r l1dfrx v l1cao24ax4 l1crbf2 d c q xq r l1dfrx v l1cao24ax4 pdw clock root a clock root b modified circuit clock root b dummy cell figure 7-7 reference circuit diagram 2
chapter 7 circuit design 286 epson standard cell s1k70000 series embedded array S1X70000 series the dffs within the dotted circle of the original circuit are driven from both clock roots a and b. clock tree synthesis cannot be applied to any circuit in this manner. in the case of a circuit such as that in this example, insert a dummy cell ?l1cao24ax4,? as shown in the corrected circuit. in such a case, clock tree synthesis optimizes the skew value of the thick-lined part of the circuit. 7.3.6.3 example of handling of a problem circuit?2 clock root a clock root b d c q xq r l1dfrx d c q xq r l1dfrx d c q xq r l1dfrx d c q xq r l1dfrx l1crbf2 v l1cao24ax4 l1crbf2 figure 7-8 reference circuit diagram 3 in the above circuit, the dffs within the dotted circle are driven from both clock roots a and b. clock tree synthesis cannot be applied to any circuit in this manner. in the case of a circuit such as that in this example, delete the cell ?crbf? that is inserted in clock root b.
chapter 7 circuit design standard cell s1k70000 series epson 287 embedded array S1X70000 series 7.3.6.4 problem circuit d c q xq r l1dfrx d c q xq r l1dfrx d c q xq r l1dfrx d c q xq r l1dfrx v l1cad2x4 l1crbf2 l1crbf2 figure 7-9 reference circuit diagram 4 in the above circuit, the cell ?l1crbf? is in serted in the stage following that of the l1cad2x4 cell, which comprises multiple dedicated-buffer stages. the l1crbf cell in the stage following that of the l1cad2x4 cell is unnecessary; therefore, it should be deleted.
chapter 7 circuit design 288 epson standard cell s1k70000 series embedded array S1X70000 series 7.4 designing fast-operating circuits for fast-operating circuits (operating freque ncy of 60 mhz or more), due to the reduced per-cycle time, the operable delay time has a small margin with respect to the propagation delay time. therefore, devise appropriate countermeasures to minimize propagation delays by taking the precautions described below into consideration in the design of a circuit. ? avoid using nor gates to configure the circuit. instead, use nand gates. *1 ? do not use a number of multi-input logic elements unless absolutely necessary. *1 ? for circuit parts with large branch counts, use tree structures that require few branches per drive element. *2 reduce the branch counts to a maximum of 10 or less. ? for fast-operating logic elements (operating frequency of approximately 60 mhz), or for circuits with strict delay specifications, make sure the load on their output pins is approximately half or 1/3 of the ordinary fan-out limits in the design of a circuit. *2 ? for logic elements connected at entry to separate modules or connected to macros and i/os, select the high-drive type. *2 ? remove restrictions, as much as possible, from circuit parts with large timing margins. (because optimization by synthesis tends to start from paths with strict timing constraints, the run time can be reduced by deleting unnecessary timing constraints as much as possible. if circuits that have small timing margins or are in violation of timing specification exist in your design, please consult epson before conducting synthesis.) notes) *1: because the drive capability differs between the high and low logic levels, delay time in the circuit may be smalle r when the circuit is configured with nand gates than when it is configured with nor gates. similarly, delay time in the circuit can be reduced by eliminating the use of multi-input logic elements in the circuit. *2: in the circuit layout of the actual lsi, the load capacitance not only consists of the input capacitance of the next-stage element, but also includes the wiring capacitance of signals. because the exact wiring capacitance is determined by placement and routing in the circuit, a specific node may be subjected to large load capacitance as a result of placement and routing. to suppress increases in load capacitance following placement and routing work, reduce the number of circuit branches at a single node as much as possible.
chapter 7 circuit design standard cell s1k70000 series epson 289 embedded array S1X70000 series 7.5 metastable state if the input signals for flip-flops or latch cells are in violation of timing specifications (such as the clock and data setup and hold times, or the clock and set/reset release or removable times), the output signals of the flip-flops or latch cells may be oscillating or at an intermediate voltage level, neither high nor low, for a certain period of time. the instable state of output signals as in this ca se is referred to as the ?metastable? state. the metastable state ends after the elapse of a certain length of time, and the output is fixed to the high or low level. however, because this fixed output level does not depend on the level of the input data, the output is indeterminate. if the setup/hold or release/removal timing specification cannot be met, be sure to incorporate corrective measures in circuit design in order to ensure that such an instable state will not propagate to the entire circuit. for the s1k70000 series, the duration of the metastable time in cases in which the designated values of the setup/hold or release/removal times cannot be satisfied is defined as a standard value, as follows: metastable time = t pd x 6 where, t pd : delay time from the active edge of the clock or set/reset signal for a flip-flop or latch cell, until its output changes. because delay values in such a metastable state are not taken into consideration during logic simulation, always make sure a circuit being designed satisfies the timing specification. hold setup clock data tpd expected output value: q metastable state indeter- minate state output: q (metastable state) figure 7-10 cxq d q l1dfx1 data clock q xq cxq d q l1dfx1 data clock q xq
chapter 7 circuit design 290 epson standard cell s1k70000 series embedded array S1X70000 series 7.6 configuration of the internal bus the bus circuit is configured using 3-state lo gic circuits; therefore, one of the outputs connected to the bus is driven active (while the other output is placed in the high-impedance state) through manipulation of the control signals for the bus, allowing one transmission signal line to be time-shared. the following describes the precautions to be taken when configuring an internal bus circuit using internal tri-state buffers. ? bus cells can only be used in a bus circuit, and not in any other circuit (see table 7-5 for the bus cells in the s1k70000 series). ? when configuring a bus circuit, add the bus latch cell *blt.* ? of the bus cells connected to one bus, only one output can be in an active state (logic 0 or 1), and all other bus-cell outputs must be placed in the high-impedance (hi-z) state. *1 ? the number of bus cells that can be connected to one bus must be within the fan-out limits. *2 ? the bus circuit tends to have a large propagation delay time due to fan-out, making it unsuitable for high-speed operation. *2 ? the data retained by a bus latch cell can only be used to prevent the bus from floating, and cannot be used as a logic signal. *3 ? when creating test patterns, exercise caution to ensure that the initial state of the bus can be determined easily. *4 ? make sure control signals for the bus chan ge state only once within one cycle. notes) *1: if two or more of the bus cells connected to one bus are in an active state (logic 0 or 1) at the same time, the output voltage may not only become instable, but may also cause a steady current to flow between v dd and gnd. this limitation should always be taken into consideration. *2: if an excessively large load is placed on the internal bus, the signal rise and fall times increase due to the increased wiring length and increased number of driven cells. this may result in a difference between the delay time in logic simulation and the delay time in the actual device. *3: even though all of the bus cells connected to one bus enter a high-impedance (hi-z) state, data is retained by a bus latch cell. however, the latch?s holding capability is restrained so as not to adversely affect operation. do not use the retained output data as valid data. *4: configure the bus so as to improve it s testability by, for example, adding test pins in order to increase the bus? controllability.
chapter 7 circuit design standard cell s1k70000 series epson 291 embedded array S1X70000 series table 7-5 bus cells available in the s1k/S1X70000 series cell name cell type 1bit 4bit 8bit bus latches *blt1 *blt4 *blt8 bus driver *tsb* % % inverting bus driver *tsv* % % tsb tsb blt1 in 1 na2 figure 7-11 typical configuration of a bus cell circuit
chapter 7 circuit design 292 epson standard cell s1k70000 series embedded array S1X70000 series 7.7 preventing contention with external buses in a system built using gate arrays and ot her lsis, if they are connected in a bus configuration, take appropriate measures, in addition to the precautions described in section 7.6, ?configuration of the internal bus,? by inserting pull-up/pull-down resistors, for example. to prevent external buses from floating, input/output cells with pull-up/pull-down resistors or input/output cells with a bus hold function (*) may be used. note that if appropriate measures are not taken prior to use, due to the indeterminate input level, functional failure or increased input leakage current may be encountered. *: bus hold circuit input/output buffers with a bus hold function are available in the s1k/S1X70000 series. to prevent the output pins or bi-directional pins from entering a high-impedance state, these buffers hold the data at the output pins intact. however, because the bus hold circuit?s holding capability is restrained so as not to adversely affect normal operation, do not use the retained output data as valid data. in the event any data is supplied from the outside, the retained data may change state easily. for details on the bus hold circuit?s output retention current, refer to the electrical characteristics specified in this manual. figure 7-12 example of a bus-hold-circuit symbol
chapter 7 circuit design standard cell s1k70000 series epson 293 embedded array S1X70000 series 7.8 oscillation circuits 7.8.1 configuration of oscillation circuits two types of dedicated oscillation cells are used to configure an oscillation circuit: one for a crystal oscillation, and one for an cr oscill ation. furthermore, there are two types of cells for crystal-oscillation use, a steadily oscillating type and an intermittently oscillating type, and either type can be placed in an internal-cell area or an i/o-cell area. the oscillation circuit may be configured in various ways, depending on which type of oscillation cell is used, as shown below. g x d lin lot rf x?tal rd cg cd inside the ic oscillation cell for steady oscillation g e x d lin lot rf x?tal rd cg cd inside the ic oscillation cell for intermittent oscillation figure 7-13 crystal-oscillation circuit (internal-cell type)
chapter 7 circuit design 294 epson standard cell s1k70000 series embedded array S1X70000 series rf x?tal rd cg cd inside the ic lin oscillation cell g x pad for steady oscillation rf x?tal rd cg cd inside the ic lin oscillation cell g x pad c for intermittent oscillation figure 7-14 crystal-oscillation circuit (i/o-cell type) g x r c lin lot lot r c inside the ic oscillation cell figure 7-15 cr oscillation circuit
chapter 7 circuit design standard cell s1k70000 series epson 295 embedded array S1X70000 series 7.8.2 notes regarding the use of oscillation circuits (1) pin layout ? the input/output pins of the oscillation circuit must be placed close to each other, and must be enclosed with the power-supply pins (v dd , v ss ) at both ends. ? the input/output pins of the oscillation circuit must be placed away from other output pins. in particular, they must be separated from outputs that are in phase or are 180 degrees out of phase with the oscillation waveform. make sure these outputs are placed on the other side of the package, opposite the oscillation circuit. ? the input/output pins of the oscillation circuit must be placed away from other input pins such as a clock input, which operate at high speed. ? the input/output pins of the oscillation circuit must be placed as close to the center of one edge of the package as possible. ? when incorporating two or more oscillation circuits in the design, make sure those oscillation circuits are placed apart from each other in order to prevent interference. ? when using area array packages such as bga, consult the sales division of epson for the pin layout of the package. (2) test pattern generation for details on how to create test patterns for designs using an oscillation circuit, refer to section 9.5, ?notes regarding the use of oscillation circuits,? in chapter 9, ?test pattern generation.? (3) selecting oscillation cells the oscillation frequencies available with the oscillation cells are in the range of several tens of khz to tens of mhz. for more information, contact the sales division of epson. (4) setting external resistor and capacitor values the oscillation characteristics of an oscillation circuit depend on its constituent elements (ic, x?tal, rf, rd, cg, cd, and board). therefore, before determining the values of external rf, rd, cg, or cd, sufficiently evaluate those components while they are mounted on the actual board. in this way, attempt to select the most appropriate values for those components. (5) guaranteed level the oscillation characteristics of an oscillation circuit depend on its constituent elements (ic, x?tal, rf, rd, cg, cd, and board). therefore, epson cannot guarantee the oscillation performance and characterist ics of oscillation circuits designed by customers. the oscillation characteristics of those oscillation circuits must be verified by customers themselves through sufficient evaluation using es samples. (6) oscillation-circuit configuration in a dual-power-supply system the oscillation circuit in a dual-power-supply system can basically be configured in the same way as a single-power-supply system. in this configuration, the oscillation cells are connected to the lv dd power supply. for the input/output cells lin and lot used in this configuration, therefore, select those labeled ?llin? and ?llot,? i.e., those prefixed with ?l.?
chapter 7 circuit design 296 epson standard cell s1k70000 series embedded array S1X70000 series 7.9 hazard protection circuits or decoder cells comprised of a combination of nand and nor gates tend to generate very short pulses, depending on the difference in propagation delays between those gates. these short pulses are known as a ?hazard.? if such a hazard enters the clock or reset pins of an ff (flip-flop), it causes the ff to operate erratically. for circuits in which such a hazard is likely to occur, therefore, protective measures must be taken by, for example, devising a circuit configuration that prevents the hazard from propagating or using a decoder circuit provided with an ?enable? pin. d c q d c q d c q d c q d c q d c q figure 7-16 example of hazard protection
chapter 7 circuit design standard cell s1k70000 series epson 297 embedded array S1X70000 series 7.10 restrictions and constraints on vhdl/verilog-hdl netlist the vhdl/verilog-hdl netlist to be interfaced to epson shall be a pure gate-level netlist (not containing function and description of operation). the restrictions and constraints in developing epson asic using vhdl/verilog-xl are as follows. 7.10.1 common restrictions and constraints (1) names of external pin (i/o pin) ? use only upper-case letters. ? number of characters: 2 to 32 ? bus description is prohibited. ? usable characters: alphanumeric characters and ?_.? use an alphabetical letter at the head. ? examples of prohibited character strings: 2 input: a digit is at the head. \2input: ?\? is at the head. inputa: lower-case letters are included. _inputa: ?_? is at the head. tna[3:0]: a bus is used for the name of the external pin. ina[3]: a bus is used for the name of the external pin. (2) names of internal pin (including bus net names) upper-and lower-case letters can be used in combination, except the following. combinations of the same words expressed in upper-and lower-case letters, such as ?_reset_? and ?_reset_.? ? number of characters: 2 to 32 ? usable characters: alphanumeric characters, ?_?, ?_[]_? (verilog bus blanket), and ?_()_? (vhdl bus blanket) with an alphabetical letter at the head. (3) module names in systems, module names are discriminated between the uppercase and lowercase. in design rules, however, mixed use of uppercase and lowercase module names is prohibited. example: mixed use of ?and? and ?and? because cells are case-sensitive, be careful about upper- and lowercase when you enter module names. (4) bus description is prohibited at the most significant place of the module. examples: data [0:3], data [3], and data [2] are prohibited. data0, data1, and data2 are all allowed.
chapter 7 circuit design 298 epson standard cell s1k70000 series embedded array S1X70000 series (5) you can use i/o cells of the same library series, but cannot combine those of different series. (6) it is not possible to describe operations in behaviors, in rtl, or in the c language. such descriptions existing in the netlist are invalid. (7) precision of the time scale of the library of each series is 1ps. 7.10.2 restrictions and constraints for verilog netlist (1) descriptions using the functions ?assign? and ?tran? are prohibited in the gate-level verilog netlist. (2) descriptions of connection with cell pin names are recommended in the verilog netlist. examples: possible: in2 inst_1 (.a(inst_2),.x(inst_3)) not possible: in2 inst_1(net1, net2) (3) you cannot use the verilog command ?force? as a description of flip-flop operation. example: force logic .singal = 0 (4) the time scale description is added at the head of the gate-level netlist generated by the synopsys design compiler. set it at the value described in the epson verilog library. time scale of each series is 1ps. example: ?timescale 1ps/1ps (5) epson prohibits combination of a bus single port name and a name that includes ?_\_?, such as the following, in the same module. input a [0] wire \a [0] (6) the following letter strings are reserved for verilog, which cannot be used as a user-defined name. always and assign begin buf bufif0 bufif1 case design default defparam disable else end endcase endfunction endmodule endtask event for force forever fork function highz0 highz1 if initial inout input integer join large medium module nand negedge nor not notif0 notif1 or output parameter posedge pull0 pull1 reg release repeat scalared small specify strong0 strong1 supply0 supply1 task time tri tri0 tri1 trinand trior trireg vectored wait wand weak0 weak1 while wire wor xor xnor
chapter 7 circuit design standard cell s1k70000 series epson 299 embedded array S1X70000 series 7.10.3 restrictions and constraints on vhdl netlist (1) in addition to the constraints in 7.10.1 (1), the following letter strings are also prohibited. because the simulation is performed using textio package, the name of functions used in textio package cannot be defined for users. inputa_: ?_? is used at the end. input_ _a: ?_? is used twice or more in succession. read: used in the system. write: used in the system. (2) the following letter strings are reserved for vhdl, which cannot be used as a user-defined name. abs access after alias all and architecture array assert attribute begin block body buffer bus case component configuration constant disconnect downto else elsif end entity exit file for function generate generic guarded if in inout is label library linkage loop map mod nand new next nor not null of on open or others out package port procedure process range record register rem report return select severity signal subtype then to transport type units until use variable wait when while with xor (3) to use epson utilities and tools, it is necessary to change the vhdl format into the verilog format. therefore, the letter strings reserved for verilog in 7.10.2 (5) are also prohibited. 7.10.4 description of oscillation cell and ac/dc test circuit cell l1tcir2 it is recommended that oscillation cells be described after being turned into instances, and that the dont_touch attribute be attached to the input and output nets by using the set_dont_touch command, in order to ensure that no buffers are inserted into the oscillation cells? external-pin connecting nets when synthesized. as ac/dc test circuit cell l1tcir2 are available as hard macros, it is recommended that they be entered in the form of gate des criptions, as shown in the examples below. ? example of verilog hdl description ? l1osc1 inst1 (.g(gate_in), .d(drain_out), .x(clk_out) ); l1tcir2 inst2 (.tm0(i_net0), .tm1(i_net1), .tm2(i_net2), .tm3(i_net3), .tst(i_net4), .ms(ms), .td(td), .te(te), .ts(ts), .tac(tac) );
chapter 7 circuit design 300 epson standard cell s1k70000 series embedded array S1X70000 series ? example of vhdl description ? inst1 : l1osc1 port map (g => gate_in, d => drain_out, x => clk_out); inst2 : l1tcir2 port map (tm0 => i_net0, tm1 => i_net1, tm2 => i_net2, tm3 => i_net3, tst => i_net4, ms => ms, td => td, te => te, ts => ts, tac => tac ); 7.10.5 clock buffer description when performing hierarchical design, please make sure that the clock root buffers are inserted in the upper layers (to the extent possible), so that gated cells will not have multiple linked stages. for clock root buffers and gated cells, it is recommended that gated cells be written directly in rtl description. when using epson gate libraries in rtl simulation, please make sure a sufficient input delay is allowed in the test patterns you create, as there will be some delay in the clock root buffers. ? verilog description ? module top (clk, reset, ....., ); input clk, reset, ... ; output out1, out2, ... ; libcy pad1 (.pad(clk), .x(iclk) ); l1crbf2 u0_l1crbf2 (.a(iclk), .x(wclk) ); . . clkgen u_clkgen (.clk(wclk), .aclk(aclk), .bclk(bclk) ...); aif u_aif (.aclk(aclk), .....); bif u_bif (.bclk(bclk), .....); endmodule module clkgen (clk, aclk, bclk); input clk; output aclk, bclk ; l1cad2x4 gatedclkand0 (.a1(clk), .a2(a_gate),.x(aclk) ); l1cad2x4 gatedclkand1 (.a1(clk), .a2(b_gate),.x(bclk) ); ... endmodule
chapter 7 circuit design standard cell s1k70000 series epson 301 embedded array S1X70000 series ? vhdl description ? library ieee; library s1k70000_typ; use ieee.std_logic_1164.all use s1k70000_typ.primitives_tables.all; use s1k70000_typ.mos_switches.all; entity top is port ( clk ; in std_logic ; reset ; in std_logic ; ... ); end top; architecture rtl of top is component libcy port (pad : in std_logic; x: out std_logic); end component; component l1crbf2 port (a : in std_logic; x: out std_logic); component clkgen port ( clk, aclk, bclk : in std_logic; ... ); end component; component aif port (.... ); end component; signal wclk, .....; begin pad1 : libcy port map ( pad => clk, x => iclk ); pad2 : u_clkgen : clkgen port map ( clk => wclk, aclk => aclk, ... ); u_aif : aif port map (aclk => aclk, ... ); end rtl;
chapter 7 circuit design 302 epson standard cell s1k70000 series embedded array S1X70000 series 7.11 pin layout and simultaneous operation this section describes the points to be noted in the layout of pins and the procedure for adding power supplies for simultaneous output-buffer operation. 7.11.1 estimating the number of power-supply pins the necessary number of power-supply pins must be estimated according to the lsi?s power consumption and the number of output buffers. in particular, a rather large transient current flows through the output bu ffers when they switch on or off. the amount of this transient current is grea ter for output buffers with greater drive capability. the number of power-supply pins required for an lsi may be estimated with respect to its current consumption, as described below. (1) for single-power-supply systems letting the current consumption be i dd [ma], the number of power-supply pins may be estimated with respect to this current consumption as follows: n idd i dd / 30 (pairs): with the v dd and v ss pins counted as one pair, 30 ma per pair can be supplied. note 1: there must be at least four pairs of power-supply pins, that is, one pair on each side of the lsi. i dd represents a value equal to the power consumption obtained in chapter 6, section 6.1, ?calculation of power consumption,? divided by the operating voltage. 2: if output buffers have dc loads connected to them with current steadily flowing, power-supply pins must be ad ded. for more information, contact the sales division of epson. (2) for dual-power-supply systems even for dual-power-supply systems, the allowable amount of current that can be flowed per pair of power-supply pins (both hv dd and lv dd power supplies) is the same as that for single-power-supply systems. calculate the necessary number of power-supply-pin pairs separately for the hv dd and lv dd power supplies. (1) number of hv dd power-supply pins letting the current consumption in the hv dd power-supply system be i dd (hv dd ) [ma], the number of power-supply pins, ni dd (hv dd ), may be calculated with respect to this current consumption as follows: ni dd (hv dd ) i dd (hv dd ) / 30: 30 ma per pin can be supplied (2) number of lv dd power-supply pins letting the current consumption in the lv dd power-supply system be i dd (lv dd ) [ma], the number of power-supply pins, ni dd (lv dd ), may be calculated with respect to this current consumption as follows: ni dd (lv dd ) i dd (lv dd ) / 30: 30 ma per pin can be supplied (3) number of v ss power-supply pins ni dd (v ss ) {i dd (hv dd ) + i dd (lv dd )} / 30: 30 ma per pin can be supplied
chapter 7 circuit design standard cell s1k70000 series epson 303 embedded array S1X70000 series note 1: for the power-supply pins hv dd , lv dd , and v ss , there must be at least four pairs of power-supply pins, that is, one pair on each side of the lsi. i dd represents a value equal to the power consumption obtained in chapter 6, section 6.1, ?calculation of power consumption,? divided by the operating voltage. 2: if output buffers have dc loads connected to them with current steadily flowing, power-supply pins must be added. for more information, contact the sales division of epson. 3: if it is necessary to add a power supply due to simultaneous changes in output, add the hv dd , lv dd , and v ss pins for each power-supply system, separately for the hv dd output buffers and the lv dd output buffers. calculation example: the following shows an example of the procedure for estimating the number of power-supply pins. here, the number of power-supply pins is estimated using the power consumption obtained in chapter 6 for an ic, which has the following power-supply characteristics. ? power-supply voltage: hv dd /lv dd = 3.3 v/1.8 v ? power consumption: p(hv dd ) = 224 [mw] p(lv dd ) = 684 [mw] (1) estimating the number of hv dd power-supply pins letting the number of hv dd power-supply pins be n idd (hv dd ), then n idd (hv dd ) = 224 [mw] / 3.3 [v] / 30 [ma] = 2.26 [pins] because there must be at least one power-supply pin on each side of the ic, the number of hv dd power-supply pins to be inserted is 4. (2) estimating the number of lv dd power-supply pins letting the number of lv dd power-supply pins be n idd (lv dd ), then n idd (lv dd ) = 684 [mw] / 1.8 [v] / 30 [ma] = 12.67 [pins] therefore, the number of lv dd power-supply pins to be inserted is 13. (3) estimating the number of v ss power-supply pins letting the number of v ss power-supply pins be n idd (v ss ), then n idd (v ss ) = {224 [mw] / 3.3 [v] + 684 [mw] / 1.8 [v] } / 30 [ma] = 14.93 [pins] therefore, the number of v ss power-supply pins to be inserted is 15 (however, we recommend that v ss pins be placed in pairs with the hv dd and lv dd power-supply pins). thus, the respective numbers of power-supply pins are as follows. hv dd power-supply pins : 4 lv dd power-supply pins : 13 v ss power-supply pins : 15
chapter 7 circuit design 304 epson standard cell s1k70000 series embedded array S1X70000 series 7.11.2 simultaneous operation and adding power supplies the noise generated by output buffers when they switch on or off simultaneously may cause the lsi to operate erratically. this section describes the simultaneous operation of output buffers and the points to be noted when placing pins in order to suppress the noise induced by simultaneous output operation. 7.11.2.1 malfunction due to simultaneous operation when a number of output buffers change stat e simultaneously, a transient charging and discharging of current occurs due to load capa citance. the charging and discharging acts upon the inductance of the lead frame or bonding wire on the system?s substrate or package, resulting in the generation of noise. the noise thus generated is expressed by the equation below. vn = l x dt di ................... equation (1) where, vn : noise power supply l : power-supply inductance component dt di : transient current here, because the transient current tends to increase in proportion to the number of simultaneously operating pins and their current drive capability and load capacitance, the voltage generated by the noise power supply varies depending on the following factors: (1) number of power supplies (2) number of simultaneously operating output buffers (3) drive capability of simultaneously operating output buffers (4) load capacitance of simultaneously operating output buffers inside the chip external power supply transient current transient current external ground figure 7-17 noise due to simultaneous operation of outputs v dd 3 v ss output waveform v dd 3 v ss input waveform for the input buffer 4ns the power-supply line near the output buffers inside the chip is made to fluctuate by the generated noise, which in turn affects the input buffer located in the vicinity, making its threshold level fluctuate. this results in device malfunction.
chapter 7 circuit design standard cell s1k70000 series epson 305 embedded array S1X70000 series 7.11.2.2 definition of simultaneous operation of outputs the simultaneous operation of outputs refers to a phenomenon in which multiple output buffers change state in the same direction within a certain time (i.e., within 4 ns). the simultaneous operation of outputs is defined independently for each closed loop of power supplies. the simultaneous operation of outputs in th e same direction refers to the following operations: (1) h l, hz l, x l, or h x output-signal operation (2) l h, hz h, x h, or l h output-signal operation where, hz : high impedance x : indeterminate for bi-directional pins, the changeover of th eir functionality from input to output must also be taken into consideration. 7.11.2.3 restrictions on simultaneously operating output buffers the magnitude of the inductance of a closed loop in which output-buffer charging and discharging current flows determines the magnitude of the generated noise. the inductance of a closed loop varies with the lsi?s pin layout and the board on which the lsi is mounted. to suppress the noise ge nerated by the simultaneous operation of outputs, exercise caution in pin layout. a closed loop here refers to a pin layout in which output-buffer pins are enclosed with the power-supply pins at both ends. determine whether there is simultaneous operation of outputs independently for each closed loop of power supplies. vss g]` 1 vss g]` 2 ???????????????? vdd g]` 1 vdd g]` 2 ??? ? ???? closed loop between v ss 1 closed loop between v ss 2 """""#$ !! "" !! $ !! "" !! # !! "" !! #$""""" closed loop between v dd 1 closed loop between v dd 2 $ : ground pins # : power-supply pins ! : output buffers figure 7-18 closed loops consider a case in which output buffers are placed in the manner shown above and change state simultaneously, resulting in the generation of noise. to prevent malfunction of the lsi due to noise in this case, determine whether the magnitude of noise is sufficiently large to cause malfunction from the number of output buffers and the load capacitance in each closed loop, using th e coefficients in tables 7-6 through 7-10 and the equation below. k mk 1 ............. equation (2) where, mk: coefficient of each output buffer
chapter 7 circuit design 306 epson standard cell s1k70000 series embedded array S1X70000 series for dual-power-supply systems, make this determination separately for the hv output cells in each closed loop between hv dd ?s, lv output cells in each closed loop between lv dd ?s, and for all output cells in each closed loop between v ss ?s. table 7-6 hv output cells, hv dd = 3.3 v 0.3 v load capacitance type 30 pf 50 pf 100 pf 150 pf 200 pf 1 0.048 0.053 0.059 0.063 0.063 2 0.077 0.083 0.091 0.100 0.100 3 0.100 0.111 0.125 0.143 0.143 4 0.200 0.250 0.250 0.333 0.333 table 7-7 hv output cells, hv dd = 3.3 v 0.3 v (when using pci) load capacitance type 30 pf 50 pf 100 pf 150 pf 200 pf 1 0.077 0.083 0.091 0.100 0.100 2 0.125 0.143 0.167 0.167 0.167 3 0.167 0.200 0.200 0.250 0.250 4 0.250 0.333 0.333 0.333 0.333 pci 0.167 0.200 0.200 0.250 0.250 note: this applies when a pci3v cell exists in the closed loop. table 7-8 hv output cells, hv dd = 2.5 v 0.2 v load capacitance type 30 pf 50 pf 100 pf 150 pf 200 pf 1 0.056 0.063 0.067 0.077 0.077 2 0.077 0.083 0.091 0.100 0.100 3 0.167 0.200 0.200 0.250 0.250 4 0.250 0.333 0.333 0.333 0.333 table 7-9 lv output cells, v dd or lv dd = 1.8 v 0.15 v load capacitance type 30 pf 50 pf 100 pf 150 pf 200 pf 1 0.016 0.018 0.019 0.021 0.021 2 0.038 0.042 0.045 0.050 0.050 3 0.063 0.071 0.077 0.083 0.083 4 0.125 0.143 0.167 0.167 0.167
chapter 7 circuit design standard cell s1k70000 series epson 307 embedded array S1X70000 series table 7-10 lv output cells, v dd or lv dd = 1.5 v 0.1 v load capacitance type 30 pf 50 pf 100 pf 150 pf 200 pf 1 0.014 0.016 0.017 0.019 0.019 2 0.026 0.029 0.031 0.034 0.034 3 0.043 0.048 0.053 0.059 0.059 4 0.083 0.091 0.100 0.111 0.111 calculation example: determine whether the ma gnitude of noise is sufficiently large to cause malfunction due to the simultaneous operation of outputs under the following voltage and pin-layout conditions. ? power-supply voltage : 3.3 v/1.8 v ? input interface : lvttl for hv cells lvcmos for lv cells pin no. cells used output load capacitance (pf) (1) v ss (2) hv dd (3) lv dd (4) hv cells, type 4 125 (5) hv cells, type 4 100 (6) hv cells, type 4 175 (7) hv dd (8) lv cells, type 3 75 (9) lv cells, type 3 150 (10) lv dd (11) v ss first, because tables 7-6 and 7-9 are used, round the output load capacitances up to the nearest whole value. (4) 125 pf 150 pf (5) 100 pf 100 pf (6) 175 pf 200 pf (8) 75 pf 100 pf (9) 150 pf 150 pf
chapter 7 circuit design 308 epson standard cell s1k70000 series embedded array S1X70000 series ? make determination for the closed loop between hv dd ?s ((2) to (7)) the hv output cells used in the closed loop between hv dd ?s are (4), (5), and (6). from the input interface and the power-supply voltage, make determination using the coefficients given in table 7-6. k mk = 0.333 + 0.250 + 0.333 = 0.916 thus, the result shows that the closed loop between hv dd ?s satisfies the determination criteria. ? make determination for the closed loop between lv dd ?s ((3) to (10)) the lv output cells used in the closed loop between lv dd ?s are (8) and (9). from the input interface and the power-supply voltage, make determination using the coefficients given in table 7-9. k mk = 0.077 + 0.167 = 0.244 thus, the result shows that the closed loop between lv dd ?s satisfies the determination criteria. ? make determination for the closed loop between v ss ?s ((1) to (11)) the output cells used in the closed loop between v ss ?s are (4), (5), (6), (8), and (9). from the input interface and the power-supply voltage, make determination using the coefficients given in table 7-6 for the hv output cells, and table 7-9 for the lv output cells. k mk = 0.333 + 0.250 + 0.333 + 0.077 + 0.167 = 1.160 thus, the result shows that the noise restraints for malfunction due to the simultaneous operation of outputs are not met. therefore, change the pin layout by moving v ss at (11) to a position between (8) and (9) so that the cells in the closed loop between v ss ?s are (4), (5), (6), and (8). pin no. cells used output load capacitance (pf) (1) v ss (2) hv dd (3) lv dd (4) hv cells, type 4 125 (5) hv cells, type 4 100 (6) hv cells, type 4 175 (7) hv dd (8) lv cells, type 3 75 (11) v ss (9) lv cells, type 4 150 (10) lv dd make determination for the closed loop between v ss ?s in this pin layout. v ss moved to this point
chapter 7 circuit design standard cell s1k70000 series epson 309 embedded array S1X70000 series k mk = 0.333 + 0.250 + 0.333 + 0.077 = 0.993 thus, the result shows that the closed loop between v ss ?s satisfies the determination criteria. however, because v ss has been moved, the closed loop between v ss ?s comprised of cells (9) and below the cells (9) requires caution. 7.11.3 cautions and notes regarding the pin layout when the package to be used has been decided, the pin layout on it must also be decided. for details on the power-supply pins and the number of usable input/output pins on each package in the s1k70000 series, refer to the designated ?pin layout table? fill-out sheet. when the pin layout has been decided, please provide epson with a ?pin layout table? after entering your pin layout on the designated sheet. because placement and routing work at epson is performed in accordance with the ?pin layout tables? received from customers, carefully inspect your pin layout table before presenting it to epson. when the designated ?pin layout table? fill-out sheet is required, please contact epson. the pin layout table is one of the important specifications determining the quality of the lsi. it is particularly important to prevent noise-induced malfunction of the lsi. noise is a phenomenon that cannot easily be verified through simulation or the like. to prevent your lsi from operating erratically for unknown reasons, we recommend that the contents below be thoroughly examined prior to the creation of your pin layout. 7.11.3.1 fixed power-supply pins depending on package combinations, there are several pins that can only be used for power supplies. furthermore, some of those pins are fixed for v dd use, while others are fixed for v ss use. therefore, check the ?pin layout table? fill-out sheet when selecting the package to use. 7.11.3.2 cautions and notes regarding the pin layout the pin layout may affect the logical functions or electrical characteristics of the lsi. furthermore, pin layout is subject to restrict ions for reasons related to the lsi assembly or cell or bulk configurations. therefore, there are several parameters that require caution in the determination of pin layout. these parameters include power-supply currents, the separation of input and output pins, critical signals, pull-up/pull-down-resistance inputs, the simultaneous operation of outputs, and large-current drivers. the following describes these parameters. (1) power-supply currents (i dd , i ss ) the power-supply currents (i dd , i ss ) specify the allowable value of the power-supply current flowing in the power-supply pins under operating conditions. if a current exceeding this allowable value flows in the power-supply pins, the current density of the lsi?s internal power-supply wiring incre ases, causing the reliability of the lsi to degrade or the lsi to break down. furthermore, the lsi?s internal voltage increases or decreases by an amount equal to the magnitude of voltage that develops due to the current and wiring resistance. it causes the functional blocks of the lsi to operate erratically or adversely affects the dc and ac characteristics of the lsi. to avoid these problems, the current density and the impedance of power-supply wiring must be reduced. to this end, in the design of a circuit, estimate its power
chapter 7 circuit design 310 epson standard cell s1k70000 series embedded array S1X70000 series consumption and insert as many power-supply pins as necessary to ensure that the current flowing in each power-supply pin wi ll not exceed the allowable value. for details on the power-supply pins, refer to section 7.11.1, ?estimating the number of power-supply pins.? in addition, make sure these power-supply pins are well distributed, rather than being concentrated in one location. it should be noted that the number of power-supply pins ultimately required for the lsi is not simply the number of power-supply pins determined above, but must also include the power-supply pins that are added for noise-protection purposes or the like. for details on the added power- supply pins, refer to section 7.11.2, ?simultaneous operation and adding power supplies.? (2) noise generated by the operation of output cells the noise generated by the operation of output cells is broadly classified into the two types specified below. to reduce these types of noise, it is helpful to install as many power-supply pins as possible. a) noise generated in the power-supply lines the noise generated in the power-supply lines presents a problem when there are multiple operating outputs. it causes the lsi?s input threshold level to change, which in turn causes the lsi to operate erratically. this type of noise is generated by a large current flowing into the power-supply lines due to the simultaneous operation of output cells. power-supply noise in particular is affe cted by the inductance component of the circuit. therefore, the lsi?s equivalent circuit can be expressed as shown in figure 7-19. when the output in this circuit diagram changes state from high to low, a current flows from the output pi n into the lsi, with the current flowing through the equivalent inductance l2 (due to the lsi package or the like) to the ground. at this time, the equivalent in ductance l2 causes the voltage of the lsi?s internal v ss power-supply line to change. a voltage fluctuation occurring in this v ss power-supply line is referred to here as the noise generated in the power-supply line. because this type of noise is caused mainly by the equivalent inductance l2, there is a tendency that the greater the surge of the power-supply current, the greater the magnitude of the noise generated. v dd input pin v dd internal output pin v ss internal v1 l1 l2 l3 figure 7-19 lsi equivalent circuit
chapter 7 circuit design standard cell s1k70000 series epson 311 embedded array S1X70000 series b) overshoot, undershoot, and ringing noises known as overshoot, undershoot, or ringing are generated by the equivalent inductance at the output pins. l3 in figure 7-19 is an example of this equivalent inductance. because inductance has the property of storing energy, even when the output goes low or high, overshoots and undershoots are proportional to the magnitude of the current flowing in the output and the change in rate of the current due to the stored energy. the most efficient means of reducing ov ershoots and undershoots is the use of output cells with a small drive capability. overshoots and undershoots tend to decrease as the load capacitance increases. therefore, be particularly careful when using cells with a large drive capability. (3) isolating input and output pins separating the group of input pins from the group of output pins in design of the pin layout is an important technique for reducing the effect of noise. because the input pins and the bi-directional pins set for input are susceptible to noise, make sure they do not coexist with output pins in design of the pin layout. to this end, separate the group of input pins, the group of output pins, and the group of bi-directional pins according to the power-supply pins (v dd , v ss ) when placing each group of pins. v ss v dd v dd v ss v dd v dd v ss v ss v dd v dd v ss v ss output pins input pins bid pins output pins figure 7-20 example of separating input and output pins (4) critical signals for critical signals such as input pins for clock and output pins operating at high speed, observe the precautions described below when placing pins. a) the clock and reset pins that are required to reduce the effects of noise must be placed away from the output pins at positions near the power-supply pins. (figure 7-21) b) the input/output pins of the oscillation circuit (oscin, oscout) must be placed close to each other, enclosed with the power-supply pins (v dd , v ss ). in addition, make sure that no output pins synchronous with the oscillation circuit are placed near these pins. (figure 7-22) c) input and output pins operating at high speed must be placed near the center of one edge of the chip (package). (figure 7-21)
chapter 7 circuit design 312 epson standard cell s1k70000 series embedded array S1X70000 series d) if the delay from specific input pins to specific output pins has only a minimal margin with respect to the customer specification, these input and output pins must be placed close to each other. (figure 7-21) v ss clk through output high speed output v ss rs t through input high speed input figure 7-21 example 1 of a layout for critical signals v dd v ss oscin v ss oscout figure 7-22 example 2 of a layout for critical signals (5) pull-up/pull-down resistor inputs the pull-up/pull-down resistance values are rather large, ranging from several 10k to several 100k ? , and have dependency on the power-supply voltage for reasons related to their structure. therefore, when using these inputs as test pins or for other purposes while they are left open, note the precautions described below, as these pins become susceptible to power-supply noise and could cause the lsi to operate erratically. a) the pull-up/pull-down resistor inputs must be placed as far as possible from the high-speed input-signal pins (e.g., clock input pins). (figure 7-23) b) the pull-up/pull-down resistor inputs must be placed away from the output-signal pins (particularly large-current output pins). (figure 7-24) in addition to the precautions on pin layout, take the following points into consideration as well. ? pull-up/pull-down resistor inputs must be processed on the circuit board (pcb) as much as possible. ? pull-up/pull-down resistors with small resistance values are preferable.
chapter 7 circuit design standard cell s1k70000 series epson 313 embedded array S1X70000 series clk pull up figure 7-23 example 1 of placement of pull-up/pull-down resistors pull down high drive output figure 7-24 example 2 of placement of pull-up/pull-down resistors (6) simultaneous operation of outputs when multiple output pins operate simultaneously, they tend to generate noise, causing the lsi to operate erratically. when it is necessary to operate a number of output pins simultaneously, add power-supply pins to the group of output pins that change state simultaneously, in order to prevent noise-induced malfunction of the lsi. for details on the number of power-supply pins to add and the procedure for placing the additional power-supply pins, refer to section 7.12.2, ?simultaneous operation and adding power supplies.? as a means of reducing said noise, cells for delay use may be added in a stage preceding one group of output pins. this helps to reduce the number of output cells that change state simultaneously, thereby re ducing the amount of noise generated. (figure 7-26) simultaneously changing output pins v ss v dd v ss v ss v dd v ss v ss v dd figure 7-25 example of adding power-supply pins a out1 ta ts mtb3aty a out2 ta ts mtb3aty dl1 figure 7-26 example of adding delay cells
chapter 7 circuit design 314 epson standard cell s1k70000 series embedded array S1X70000 series (7) large-current drivers when using the output of large-current drivers (i ol = 12 ma, pci), observe the restrictions described below when placing pins. a) constraints on strengthening the power-supplies large-current drivers have large drive cap ability; therefore, the amount of noise generated by their output buffers during operation is also large. this noise may cause the lsi to operate erratically. when using large-current drivers, add power-supply pins near their pins in order to secure the power supply needed for the large-current drivers. (figure 7-27) b) low-noise pre-drivers to reduce the amount of noise generated by the output buffers of large-current drivers during operation, low-noise-type output and bi-directional buffers available from epson may be used. for details, refer to chapter 4, ?types of input/output buffers and their use.? v ss high drive outpu t v ss v dd v dd figure 7-27 example of strengthening power-supplies (8) other precautions a) non-connection (nc) pins if the number of pads of the lsi is sm aller than the number of pins on the package, or if the package has pins that cannot be assembled, some pins on the package are unusable. b) tab hanger pins tab hanger pins are pins on the package that are connected directly to the lsi substrate. these pins are tied to the v ss (gnd) level without being furnished with external power supplies for the aforementioned reasons. normally, leave these pins open on the board.
chapter 7 circuit design standard cell s1k70000 series epson 315 embedded array S1X70000 series 7.11.4 example of the recommended pin layout pin layout is an important factor in ensu ring that the lsi operates normally. the following shows a pin-layout diagram (figure 7-28) based on the information given in this chapter. refer to this example in determining the pin layout. input pins plup v dd inp 9 v ss v dd inp10 inp11 inp12 inp13 inp14 clk inp15 inp16 inp17 inp18 inp19 v dd bid0 v ss v dd bid1 bid2 bid3 bid4 hout out0 out1 mosc v dd v ss v ss v ss bid p ins out p ut p ins v ss inp 8 v ss v ss inp 7 inp 6 inp 5 osci n inp 4 inp 3 inp 2 inp 1 inp 0 v ss v dd osc out input pins sout0 v ss sout1 v ss v ss sout2 sout3 sout4 sout5 sout6 sout7 sout8 sout9 v ss v dd v ss output pins figure 7-28 example of the recommended pin layout input pins are placed on the top and left sides of the package, with the output pins changing simultaneously on its right sides, and the bi-directional pins and other output pins changing simultaneously on its bottom side. table 7-11 pin layout example placement pin name explanation of pin name detailed explanation of the placement of each pin plup input pins with pull-ups placed at positions less affected by noise upper edge clk input pins for the clock placed near the center of the edge of the package or near the power-supply pins oscin oscillator pins placed near the center of the edge of the package or near the power supply pins oscout placed near the center of the edge of the package or near the power-supply pins left edge inp0?19 input pins placed apart from other pins, divided by power-supply pins right edge sout0?9 simultaneously changing output pins placed apart from other pins, divided by power-supply pins, with power-supply pins added bid0?4 bi-directional pins placed apart from other pins, divided by power-supply pins mosc oscillator monitor output pins placed near the power-supply pins away from the oscillator pins hout high-drive output pins power-supply pins placed nearby bottom edge out01 output pins placed apart from other pins, divided by power-supply pins v dd v dd power-supply pins overall edges v ss v ss (gnd) power-supply pins
chapter 7 circuit design 316 epson standard cell s1k70000 series embedded array S1X70000 series 7.12 about power supply cutoff when s1k/S1X70000-series cells are used to create a chip designed to power-supply cutoff specifications, note the following. 7.12.1 for single-power-supply systems (1) in cases in which input signals from the outside also enter high-z state when the power supply is cut basically, all types of input/output buffers can be used. even in cases in which input/output buffers are separated by pairs of power-supply pins and the power supply for part of the area is to be cut off, all types of input/output buffers can be used unless signals from the outside are applied. (however, this is possible providing that the power supplies for all of the related circuits, including the internal cell area, are cut off.) (2) in cases in which input signals from the outside are applied when the power supply is cut off or pull-up resistors are incorporated external to the chip if input signals from the outside are applied while the power supply is cut off, leakage current may occur, depending on the type of input/output buffer used. therefore, the following types of input/output buffers cannot be used in this design: ? input buffers with pull-up resistors. however, this does not include fail safe cells. ? output buffers other than fail safe buffers. however, the open drain type can be used. ? bi-directional buffers other than fail safe buffers. however, the open drain type can be used. (even in cases in which input/output buffers are separated by pairs of power-supply pins and the power supply for part of the ar ea is to be cut off, the input/output buffers listed above cannot be used in the relevant area.)
chapter 7 circuit design standard cell s1k70000 series epson 317 embedded array S1X70000 series 7.12.2 for dual-power-supply systems (1) in cases in which lv dd is cut off while hv dd remains on in this design, the output mode of the hv dd output buffers or hv dd bi-directional buffers may become uncontrollable. in th e worst-case scenario, current may even continue to flow into those buffers. theref ore, the power supply cannot be cut off in this design. (2) in cases in which hv dd is cut off while lv dd remains on a) if inputs from the outside also enter high-z state when the hv dd power supply is cut off ? lv dd cells if the lv inputs also enter high-z state, use gated cells (lvcmos-and cells). current can be prevented from flowing in the initial input stage by pulling the control pin c low in the internal circuit. no specific restrictions apply if lv inputs do not enter high-z state. ? hv dd cells hv-use gated cells are not available in the s1k/S1X70000 series. however, cutoff cells are available for use in power-supply cutoff design. this i/o cell allows the current flowing in input circuits to be shut off by pulling the control pin c low in the internal circuit. (in this case, the output pin x outputs a high-level signal.) note, however, that control pin c of cutoff cells must always be fixed high during normal operation. b) if input signals from the outside are applied when the hv dd power supply is cut off or pull-up resistors are incorporated external to the chip ? lv dd cells if the lv inputs enter high-z state, use gated cells (lvcmos-and cells). current can be prevented from flowing in the initial input stage by pulling control pin c low in the internal circuit. no specific restrictions apply if the lv inputs do not enter high-z state. ? hv dd cells for output buffers, use open drain-type cells. for input buffers, use cutoff cells (input buffers with pull-up resistors cannot be used, however). for bi-directional buffers, use open drain-type cutoff cells. (if bi-directional buffers of the ordinary cutoff type are used here, leakage current will occur.) these types of input/output buffers allow the current flowing in input circuits to be shut off by pulling control pin c low in the internal circuit. (in this case, ou tput pin x outputs a high-level signal.) note, however, that control pin c of cutoff cells must always be fixed high during normal operation. for details on the gated and cutoff cells mentioned above, refer to section 4.2.5, ?gated cells,? and section 4.3.6, ?cutoff cells.? even in cases in which
chapter 7 circuit design 318 epson standard cell s1k70000 series embedded array S1X70000 series input/output buffers are separated by pairs of power-supply pin and the power supply for part of the area is to be cut off, no input/output buffers other than those mentioned above can be used in the relevant area. (3) in cases in which both hv dd and lv dd are cut off a) if inputs from the outside also enter high-z state when the power supplies are cut off basically, all types of input/output buffers can be used. even in cases in which input/output buffers are separated by pairs of power-supply pins and the power supplies for part of the area are to be cut off, all types of input/output buffers can be used unless signals from the outside are applied. (however, this is possible provided that the power supplies for all of the related circuits, including the internal cell area, are cut off.) b) if input signals from the outside are applied when the power supplies are cut off or pull-up resistors are incorporated external to the chip ? lv dd cells if input signals from the outside are applied while the power supplies are cut off, leakage current may occur, depending on the type of input/output buffer used. therefore, the following types of input/output buffers cannot be used in this design: ? input buffers with pull-up resistors. however, this does not include fail safe cells. ? output buffers other than fail safe buffers. however, the open drain type can be used. ? bi-directional buffers other than fail safe buffers. however, the open drain type can be used. ? hv dd cells as with lv dd cells, leakage current may occur depending on the type of input/output buffer used. the following types of input/output buffers cannot be used in this design. ? pci cells and input buffers with pull-up resistors ? output buffers other than open drain buffers ? bi-directional buffers other than open drain buffers (even in cases in which input/output buffers are separated by pairs of power-supply pins and the power supplies for part of the area are to be cut off, the input/output buffers mentioned above cannot be used in the relevant area.)
chapter 8 circuit design that takes testability into account standard cell s1k70000 series epson 319 embedded array S1X70000 series chapter 8 circuit design that takes testability into account when ics are shipped from the epson factory, they are tested for product fitness through the use of an lsi tester. this requires that circuits be designed in consideration of the testability of the ic. therefore, be sure to take the points specified below into consideration in the design of a circuit. th e contents described here do not apply to combined use with jtag circuits. if jtag circuits are desired, refer to section 8.8, ?boundary scan design,? and create test patterns that are capable of performing dc testing. furthermore, if test circuits cannot be added, contact the sales division of epson for confirmation. 8.1 consideration regarding circuit initialization although a number of flip-flops (ffs) are used in a circuit, the initial state of all ffs is x (indeterminate) when the circuit is tested using an lsi tester or simulated on a simulator. for this reason, depending on the circuit configuration, the circuit cannot be initialized or requires a huge number of test patterns for initialization. to avoid this problem, in the design of a circuit, be sure to use ffs with reset inputs or other means in order to enable the circuit to be initialized easily. 8.2 consideration regarding compressing the test patterns as the circuit size increases, so does the size of test patterns. be aware, however, that the size of test patterns is subject to the following limitations imposed by the use of an lsi tester. number of events per test pattern : up to 256k events number of test patterns : up to 30 total number of events in all test patterns : up to 1m events these limitations apply to test patterns for dc testing, including test patterns for z inspection, test patterns for test circuits, and test patterns for rom or megacells prepared by epson. for details on the number of rom or megacell test patterns and the number of events in those test patterns, contact the sales division of epson. for ram test patterns, note that although the reference patterns prepared by customers are subject to said limitations, the test patterns for complete ram-pattern verification prepared by epson are not subject to limitations. in the design of a circuit, be sure to use an appropriate means of improving the circuit?s testability and thereby reducing the number of necessary test patterns by, for example, installing test pins that enable a clock to be input in the middle of a multi-stage counter, or by adding test pins that allow the lsi?s internal signals to be monitored. 8.3 test circuit which simplifies dc and ac testing for the s1k/S1X70000 series, customers are expect ed to configure a test circuit and add it to the test circuit in order to allow shipment testing such as dc and ac testing by epson to be performed efficiently. if a test circu it cannot be added to your circuit, please contact epson for confirmation.
chapter 8 circuit design that takes testability into account 320 epson standard cell s1k70000 series embedded array S1X70000 series 8.3.1 circuit configuration when output buffers with a test circuit are used figure 8-1 shows the configuration of the test circuit ?l1tcir2? recommended by epson. figure 8-3 shows dc and ac test circuits and a practical example of a test circuit for 2-word x 2-bit ram (this memory configuration does not actually exist, however). refer to these circuits and (1) through (4) below wh en configuring a test circuit. if ram or functional cells are included in your circuit, also refer to section 8.4, ?ram and rom test circuits,? and section 8.6, ?function cell test circuits.? (1) adding and selecting pins for testing to configure pins for testing, add the three types of test pins specified below. for these test pins, select appropriate cells or buffers available. ? test enable pin: 1 pin. ? test mode select input pin: 4 pins. ? monitor output pin for ac testing: 1 pin. table 8-1 test pins constraints test pin type number of pins name of pins (ex.) constraints, notes, etc. test enable pin 1 pin. tsten dedicated input pin. use itst1 for the input buffer. h: test mode; l: normal mode test mode select input pin 4 pins. inp0?inp3 input pin shareabl e with the user functions, but cannot be shared with bi-d irectional pins. avoid sharing this pin with other input pins that have a critical path. monitor output pin for ac testing 1 pin. out3 input pin shareable with the user functions, but cannot be shared with n channel open drain cells output and input/output pins ? ? output buffer with test mode (input/output pins allowed) ? about dc testing this test checks whether all input and output pins satisfy the designated specifications for dc characteristics. if no test circuits are included, customers will be requested to create test patterns to enable measurement of dc characteristics, which may require a huge number of man-hours. use of a test circuit facilitates the creation of test pa tterns and therefore makes it easy to measure dc characteristics. ? ac testing this test involves measuring pin-to-pin delays (delays in input pins to output pins). if the actual operating frequency cannot be inspected using an lsi tester, the operating speed will be guaranteed by measuring the delay in a specific path. if the epson-recommended test circuit ?l1tcr2? is used, variations between lots will be evaluated by measuring the dedicated ac path using an ac-test monitor output pin. because the recommended test circuit ?l1tcr2? does so by judging the difference in measured values between the tested device?s delay and the bypass delay, consistent delay measurement that is not dependent on the intra-chip
chapter 8 circuit design that takes testability into account standard cell s1k70000 series epson 321 embedded array S1X70000 series location of the test circuit or measurement conditions external to the chip is always possible. (2) adding a test-mode control circuit a: add a test-mode control circuit (l1tcr2). b: connect output x pin for the input buffer (litst1) of the dedicated test-mode input pin to the input tst pin of the ?l1tcir2?. c: connect the outputs for the input buffers of test-mode select input pins to the input pins of the ?l1tcr2?. connect the output for the inp0 input buffer to the tm0 pin of the ?l1tcr2?. connect the output for the inp1 input buffer to the tm1 pin of the ?l1tcr2?. connect the output for the inp2 input buffer to the tm2 pin of the ?l1tcr2?. connect the output for the inp3 input buffer to the tm3 pin of the ?l1tcr2?. d: connect the output pins of the test-mod e control circuit (tcir2) to the input pins of the input/output buffers. ? connect the output pin (tac) of the l1tcir2 to the ta pin of the input/output buffer of the ac-test monitor output pin (out3). ? connect the output pin (ts) of the l1tc ir2 to the ts pins of all input/output buffers. ? connect the output pin (td) of the l1tcir2 to the ta pins of all input/output buffers other than the test monitor output pin (out3). ? connect the output pin (te) of the l1tcir2 to the te pins of the input/output buffers for the 3-state pin (out2) and bi-directional pin (bid1). ? use the output pin (ms) of the l1tcir2 for control of each macro when ram or function cells are included in your circuit. e: even if the signals connected to the ta, te, or ts pins of input/output buffers exceed the fan-out limits, the violation of the fan-out limits can be ignored without causing any problem. (3) typical examples for setting test mode a: dc test ? quiescent-current measurement mode tsten ... high ? output-characteristic (v oh /v ol ) measurement mode tsten ... high inp0 ... low inp1 ... high or low inp2 ... low measured pins *1 ... high or low *1: this includes all output and all bi-directional pins other than the ac-test monitor output pin. ? leakage-current measurement mode tsten ... high inp0 ... high inp1 ... low
chapter 8 circuit design that takes testability into account 322 epson standard cell s1k70000 series embedded array S1X70000 series inp2 ... high measured pins *3 ... high or low 3-state and open drain pins ... high-impedance *3: this includes all 3-state output and all bi-directional pins other than inp0-2. b: dedicated ac test ? dedicated ac-path measurement mode tsten ... high inp0 ... low inp1 ... low inp2 *4 ... change to high or low (input signal for the measured device) inp3 *4 ... select high (delay-cell delay) or low (bypass delay) (measured device select pin) ac test monitor output pin ... outputs a signal corresponding to input for inp2. *4: after selecting the measured device using inp3, change inp2 to high or low in the next and subsequent events. in a pattern in which inp2 and inp3 change state simultaneously, dela ys cannot be measured accurately. refer to figure 8-4, ?example of the generation of a test pattern when there is a test option.? c: macro test ? macro-test mode tsten ... high inp0 ... high inp1 ... low inp2 ... low macro control pin in test mode *5 ... depends on the macro function macro watch pin in test mode *5 ... depends on the macro operation *5: this pin is assigned for macro use in test mode.
chapter 8 circuit design that takes testability into account standard cell s1k70000 series epson 323 embedded array S1X70000 series table 8-2 truth table for test circuit input output tst tm3 tm2 tm1 tm0 ts td te tac ms 0 x x x x 0 0 0 0 0 1 x x x x 1 x x x x 1 x x x x 1 x x x x 1 x 1 1 1 1 1 1 1 0 1 x 1 1 0 1 1 1 1 0 1 x 1 0 1 1 1 1 1 0 1 x 0 1 1 1 1 1 1 0 1 x 0 0 1 1 1 0 1 1 1 x 0 1 0 1 1 0 1 0 1 0 1 0 0 1 0 0 1 0 1 0 0 0 0 1 0 0 0 0 1 1 1 0 0 1 0 0 1 0 1 1 0 0 0 1 0 0 0 0 (4) generating the test patterns to ensure that dc and ac testing are conducted efficiently, customers will be requested to design both a test circuit and a test pattern. figure 8-4 shows a practical example of a test pattern for the example test circuit shown in figure 8-3. note the following points in generating the test pattern. a. generate a test pattern like the one shown in the example, separately from a pattern for circuit verification. b. this test pattern must contain a description of all pins used in the circuit. c. a test pattern for measuring both delay-cell delay and bypass delay for ac testing is required. referring to figure 8-4, generate a test pattern that allows two pulses to be applied in each mode. d. in a pattern for circuit verification as well, write test pins (e.g., tsten). in such a case, set the input level for the test pin (e.g., tsten) to logic 0. e. when the input level for the test pin is logic 1, all of the pull-up/pull-down resistors enter an inactive state. (5) circuit configuration of the test circuit ?l1tcir2? shown in figure 8-1 is the circuit conf iguration of the test circuit ?l1tcir2? recommended by epson. the l1tcir2 places the entire circuit in test mode and provides an efficient means of conducting dc and ac testing for the lsi.
chapter 8 circuit design that takes testability into account 324 epson standard cell s1k70000 series embedded array S1X70000 series tm0 tm1 tm2 tst tm3 tac ts td ms te tcir2 figure 8-1 internal circuit of the tcir2 (6) circuit configuration for input-logic-level verification testing the input logic levels of all input and input/output cells in the s1k/S1X70000 series are managed using values that have been preliminarily design-guaranteed in the design stage at epson. however, if the cust omer specification requires verification of the input logic levels, customers will be requested to insert an input-logic-level verification circuit (e.g., an and-chain ci rcuit) and generate a test pattern for verification purposes. in so doing, refe r to the example of an and-chain circuit shown below. an and-chain circuit consists of input and input/output cells connected in a chain, and it is used to pe rform verification by sequentially changing all connected pins from high to low or low to high using a test pattern. example: internal circuit testin testout i/o-pad i/o-cell figure 8-2 and-chain circuit
chapter 8 circuit design that takes testability into account standard cell s1k70000 series epson 325 embedded array S1X70000 series (7) other the s1k70000 series uses active pull-up/pull-down resistors. when the test circuit ?l1tcir2? is used in a customer?s circuit, the pull-up/pull-down resistors are forcibly disabled in quiescent-current measurement mo de. in such a case, the properties of the customer?s pull-up/pull-down-resistor cont rol pin ?pc? are ignored. if control of the pull-up/pull-down resistors is unnecessary, the pc pin must be fixed to the low level. in that case as well, the pull-up/pull-down resistors are disabled while in test mode. 8.3.2 circuit configuration when output buffers without a test circuit are used if, due to the configuration of the customer?s circuit design, output buffers with a test function or the epson-recommended test circuit ?l1tcir2? cannot be used, the operating speed of the customer?s circuit will be guar anteed by measuring the critical paths it contains. in that case, customers will be requested to generate a test pattern that is capable of measuring the customer?s critical paths. if critical paths in the customer?s circuit are not particularly specified, a delay measurement device ?l1acp1? and a selector circuit that selects between the customer?s circuit and the ?l1acp1? will be inserted by epson. in addition, by measuring the dedicated ac path in this configuration, variations between lots will be evaluated and the operating speed guaranteed. in such a case, customers will be requested to select two input pins and one output pin from the customer?s circuit. because the delay measurement device ?l1acp1? does this by judging the difference in measured values between the tested device?s delay and the bypass delay, it is always possible to achieve consistent delay measurement not dependent on the intra-chip location of the test circuit or measurement conditions external to the chip.
chapter 8 circuit design that takes testability into account 326 epson standard cell s1k70000 series embedded array S1X70000 series i_32 itst1 i_33 tcir2 tm0 tm1 tm2 tm3 tst ms tac td te ts i_31 ob1t a ta ts i_1 ob1t a ta ts i_2 ob1t a ta ts i_3 tb1t a e ta te ts i_4 bc1t a e ta te ts i_5 ao24a i_6 ao24a i_12 ao24a i_13 ao24a i_7 ao24a i_8 ao24a i_9 ao24a i_10 ao24a i_11 ao24a i_14 ao24a i_15 ao24a i_16 ao24a i_17 ao24a i_18 ao24a i_19 ram1p1 a0 a1 a2 a3 a4 a5 cs d0 d1 d10 d11 d12 d13 d14 d15 d2 d3 d4 d5 d6 d7 d8 d9 rw y0 y1 y10 y11 y12 y13 y14 y15 y2 y3 y4 y5 y6 y7 y8 y9 i_20 ram1p1 a0 a1 a2 a3 a4 a5 cs d0 d1 d10 d11 d12 d13 d14 d15 d2 d3 d4 d5 d6 d7 d8 d9 rw y0 y1 y10 y11 y12 y13 y14 y15 y2 y3 y4 y5 y6 y7 y8 y9 i_34 ibc i_35 ibc i_36 ibc i_21 ibc i_24 ibc i_25 ibc i_26 ibc i_27 ibc i_28 ibc i_22 ibc i_23 ibc ia0 bid1 id0 id1 out0 irw1 ics1 out1 out2 irw2 out3 ics2 inp0 inp1 inp2 inp3 tsten x customers circuit figure 8-3 example of a test circuit
chapter 8 circuit design that takes testability into account standard cell s1k70000 series epson 327 embedded array S1X70000 series ! example of the apf format # example of test pattern for ac & dc test by tcir2 $rate 200000 $resolution 0.001ns $strobe 185000 $node tsten id 0 inp0 i 0 inp1 i 0 inp2 i 0 inp3 i 0 ia0 i 0 id0 i 0 id1 i 0 ics1 i 0 ics2 i 0 irw1 i 0 irw2 i 0 bid1 b 0 out0 o out1 o out2 o out3 o $endnode $pattern # tiiiiiiiiiiiboooo # snnnnaddccrriuuuu # tpppp001sswwdtttt # e0123 121210123 # n # # iiiiiiiiiiiiboooo # u # 0 00000.......xxxxx 1 10000.......lllll: dedicated-ac-path measurement 1 (bypass) 2 10010.......llllh 3 10000.......lllll 4 10001.......lllll: dedicated-ac-path measurement 2 (delay path) 5 10011.......llllh 6 10001.......lllll 7 11010.......0zhhh: off-state leakage-current measurement 8 11010.......1zhhh 9 10000.......lllll: output-characteristic measurement 10 10100.......hhhhh $endpattern # # eof n ote: the ?.? denotes logic 1 or 0. figure 8-4 example of the generation of a test pattern when there is a test option
chapter 8 circuit design that takes testability into account 328 epson standard cell s1k70000 series embedded array S1X70000 series 8.4 ram and rom test circuit 8.4.1 basic-cell-type ram when a ram is used it is necessary to test all bits before shipping the product. ram terminals must be accessible via primary i/o pins. ram test circuitry can be implemented, which multiplexes existing pin functionality with direct ram access functionality so as to avoid increasing the designs pin count. no bi-directional pins can be used for input as they all are placed in an output state during ram test. if input pins are inadequate, attach a control circuit to the target bi-directional te pin. also, when multiple rams are used, we recommend that each ram?s pins be accessible via unique i/o pins. however, when the number of external i/o pins is inadequate, each ram?s pins may share common external i/o pins. the example test circuit in figure 8-3 perfor ms normal operations unless in test mode; when placed in test mode, the circuit allows data to be written directly to ram from external pins ics1-2, irw1-2, id0-1, and ia0. at the same time, ram output in this circuit can be read out to external pins ay0 and ay1. although it is possible to share the ram pins with bi-directional pins or 3-state output pins, it is necessary to tie the bi-directional pins to either an input or an output state during ram test. however, please do not allocate an input buffer with a pull-up resistor to cs, because doing so would make it impossible to measure the quiescent current. 8.4.1.1 ram test patterns after incorporating ram test circuitry, it is necessary to make test patterns for both the normal operating state and the test state of the chip. checks are performed in the normal state to verify the connection with the customer?s circuits, and are performed to insure that the test circuit is correct in the test state. also, we request a test pattern to serve as a template when epson generates the ram test pattern. see figures 8-5 and 8-6 for an outline of how to generate this test pattern.
chapter 8 circuit design that takes testability into account standard cell s1k70000 series epson 329 embedded array S1X70000 series x 1 setup cs x read data 2 write 3 read the tester may perform repetitive write operations with the timing shown in the timin g chart on the ri g ht. the timin g of the wr si g nal should take this into account. x 2 write 2 write all 1 ra [2 : 0] wr y[3:0] d[3:0] strobe expect rd wr [2 : 0] this pattern serves as a template for 2-port ram tests. timing chart $rate 200000 $strobe 185000 $resolution 0.001ns $node inpa i 0 inpb i 0 inpc i 0 inpd i 0 inpe i 0 inpf i 0 inpg i 0 inph n 20000 120000 inpi i 0 . . outa 0 outb 0 outc 0 outd 0 . . $endnode $pattern # # # aaaddddrc yyyy 0120123ws 0123 0 000101010..xxxx.. 1 0001010n1..xxxx.. 2 000101011..hlhl.. 3 101111110..xxxx.. 4 1011111n1..xxxx.. 5 101111111..hhhh.. 6 111010110..xxxx.. 7 1110101n1..xxxx.. 8 111010111..lhlh.. example of apf format please provide all i/o pins used in  performing simulation. reference the timing chart below  to set timing. it is useful to place comments here. when a sequence is necessary to set the test mode, input the pattern here. [1] access the lowest address, a middle address and the highest address. [2] structure a single access from 3 events (test cycles). in the first event, set the data and the address. in the next event, perform a write. in the third event, perform a read. [3] use an rz waveform to describe the rw signal so that the write operation can be completed in a signal event. [4] change the data to be written for each address tested. [5] verify that the results are the same as expected form the results of the simulations. figure 8-5 generating 1-port ram test pattern
chapter 8 circuit design that takes testability into account 330 epson standard cell s1k70000 series embedded array S1X70000 series x 1 setup cs x read data 2 write 3 read the tester may perform repetitive write operations with the timing shown in the timin g chart on the ri g ht. the timin g of the wr si g nal should take this into account. x 2 write 2 write all 1 ra [2 : 0] wr y[3:0] d[3:0] strobe expect rd wr [2 : 0] this pattern serves as a template for 2-port ram tests. timing chart $rate 200000 $strobe 185000 $resolution 0.001ns $node inpa i 0 inpb i 0 inpc i 0 inpd i 0 inpe i 0 inpf i 0 inpg i 0 inph i 0 inpi i 0 inpj i 0 inpk i 0 inpl p 20000 120000 inpm i 0 . . outa 0 outb 0 outc 0 outd 0 . . $endnode $pattern # # # # rrrwww aaaaaaddddrwc.yyyy.. 0120120123drs.0123.. 0 0000001010000.xxxx.. 1 00000010100p1.xxxx.. 2 0000001111101.hlhl.. 3 1011011111000.xxxx.. 4 10110111110p1.xxxx.. 5 1011010000101.hhhh.. 6 1111110101000.xxxx.. 7 11111101010p1.xxxx.. 8 1111111111101.lhlh.. it is useful to place comments here. when a sequence is necessary to set the test mode, input the pattern here. [1] access the lowest address, a middle address and the highest address. [2] structure a single access from 3 events (test cycles). in the first event, set the data and the address. in the next event, perform a write. in the third event, perform a read. [3] use an rz waveform to describe the rw signal so that the write operation can be completed in a signal event. [4] change the data to be written for each address tested. [5] verify that the results are the same as expected form the results of the simulations. [6] set all bits of data to "1" when reading. however, if all bits of the data to write are 1?s, all bits of data during reading must be 0?s. example of apf format please provide all i/o pins used in  performing simulation. reference the timing chart below  to set timing. figure 8-6 generating 2-port ram test pattern
chapter 8 circuit design that takes testability into account standard cell s1k70000 series epson 331 embedded array S1X70000 series 8.4.2 high-density-type 1-port ram for high-density-type 1-port ram, as for basic-cell-type ram, please incorporate a test circuit which can be accessed directly from external pins and create test patterns in both normal and test states. (epson will use the test-state test patterns as templates as it creates dedicated ram test patterns.) (for details, refer to section 8.4.1, ?basic-cell-type ram.?) when creating test-state test patterns for high-density-type 1-port ram, please follow the prescribed procedure. ? timing chart ( 1 ) dummy event ( 2 ) write event ( 3 ) read event t 0 a [n:0] d[m:0] c k t 1 t 2 x cs xwe y[m:0] old data ( don't care ) write through data valid data s trobe t 3 recommended values for t 0 ?t 3 : t 0 = 200ns, t 1 = 20 ns, t 2 = 100 ns, t 3 = 185 ns ? example f or apf f ormat ( 16 words x 4 bits ) $rate 200000 $strobe 185000 $resolution 0.001ns $node ia3 i 0 ia2 i 0 ia1 i 0 ia0 i 0 ick p 20000 120000 ixcs i 0 ixwe i 0 id3 i 0 id2 i 0 id1 i 0 id0 i 0 ??? oy3 o oy2 o oy1 o oy0 o $endnode $pattern # aaaacxxdddd ??? yyyy # 3210kcw3210 ??? 3210 # se 0 00000010000 ??? xxxx 1 0000p100000 ??? xxxx 2 0000p110000 ??? llll 3 01010010101 ??? xxxx 4 0101p100101 ??? xxxx 5 0101p110101 ??? lhlh 6 11110011111 ??? xxxx 7 1111p101111 ??? xxxx 8 1111p111111 ??? hhhh $endpattern (1) access the test pattern for the dummy, write, and read events in one operation (one tester cycle) and perform the test three times: first the lower address, then the middle address, then the upper address. (2) set the address and data at the beginning of a dummy event, write the data in a write event, and read the data in a read event. (3) apply ck as a pulse (rz waveform). (4) change the write data after each access operation. (5) if there is a test-mode setup sequence, be sure to insert it prior to event 0. (event numbers need to be reassigned.) (6) after creating a test pattern, always confirm its functionality through logic simulation. when simulated, the test pattern will produce an output during a dummy event (old data) and an output during a write event (write-through data). however, because these outputs do not need to be verified, we recommend that they be rewritten to indeterminate values before being interfaced to the tester. be sure to write all i/o pins to ensure that simulations are performed. figure 8-7 procedure for creating test patterns for high-density-type 1-port ram
chapter 8 circuit design that takes testability into account 332 epson standard cell s1k70000 series embedded array S1X70000 series 8.4.3 high-density-type dual-port ram for high-density-type dual-ported ram, as for basic-cell-type ram, please incorporate a test circuit which can be accessed directly from external pins and create test patterns in both normal and test states. (epson will use the test-state test patterns as templates as it creates dedicated ram test patterns.) (for details, refer to section 8.4.1, ?basic-cell-type ram.?) when creating test-state test patterns for high-density-type dual-ported ram, although essentially the same procedure applies as is described in section 8.4.2, ?high-density-type 1-port ram,? please follow the specific procedure described below, so that test patterns will be created separately (depending on how the ports are used). (1) when using as dual-ported ram (reading and writing on both ports a and b), create the following two test patterns:* ? test pattern 1: write from port a, read from port a ? test pattern 2: write from port b, read from port b (2) when using as 2-port ram (writing on port a, reading on port b), create the following (one) test pattern. ? test pattern 1: write from port a, read from port b (3) when using as 3-port ram (reading and writing on port a, reading on port b), create the following two test patterns:* ? test pattern 1: write from port a, read from port a ? test pattern 2: write from port a, read from port b * to prevent possible simultaneous access of the same address, please avoid writing the same test pattern for test patterns 1 and 2. 8.4.4 large-capacity-type ram for large-capacity-type ram, as for basic-cell- type ram, please incorporate a test circuit which can be accessed directly from external pins and create test patterns in both normal and test states. (the test-state test patterns become a template which epson will use as it creates dedicated ram test patterns.) (for details, refer to section 8.4.1, ?basic-cell-type ram.?) regarding test-state test patterns for the large-capacity-type ram, essentially the same procedure applies as is described in section 8.4.2, ?high-density-type 1-port ram.? 8.4.5 mask rom for mask rom, as for basic-cell-type ram, pl ease incorporate a test circuit which can be accessed directly from external pins and create test patterns in both normal and test states. (for details, refer to sect ion 8.4.1, ?basic-cell-type ram.?)
chapter 8 circuit design that takes testability into account standard cell s1k70000 series epson 333 embedded array S1X70000 series when creating the mask rom test-state test patterns, please follow the procedure described below in order to ensure that data can be read out from all addresses. ? timing chart read even t t 0 a[ n: 0] ck t 1 t 2 xc s y[m:0] valid data s tro b e t 3 recommended values for t 0 ?t 3 : t 0 = 200 ns, t 1 = 20 ns , t 2 = 100 ns, t 3 = 185 ns ? example for apf format (16 words x 4 bits) $rate 200000 $strobe 185000 $resolution 0.001ns $node ia3 i 0 ia2 i 0 ia1 i 0 ia0 i 0 ick p 20000 120000 ixcs i 0 ??? oy3 o oy2 o oy1 o oy0 o $endnode $pattern # aaaacx ??? yyyy # 3210kc ??? 3210 # s 0 0000p0 ??? llhh 1 0001p0 ??? llhl 2 0010p0 ??? llll 3 0011p0 ??? lhll ??? 12 1100p0 ??? hhhl 13 1101p0 ??? hhlh 14 1110p0 ??? hlhh 15 1111p0 ??? lhhh $endpattern (1) perform read operations on all addresses, based on the read event show n above. addresses may be changed in any order, as desired. (2) ck must be applied as a pulse (rz w aveform). there is no need to stop the clock. (3) if there is a test-mode setup sequence, be sure to insert it prior to event 0. (event numbers need to be reassigned.) be sure to w rite all i/o pins to ensure that simulations are performed. figure 8-8 procedure for creating mask rom test patterns
chapter 8 circuit design that takes testability into account 334 epson standard cell s1k70000 series embedded array S1X70000 series 8.5 memory bist design the s1k70000 series comes equipped with a memory self-diagnostic circuit called the ?memory bist (built-in self-test),? which may be used as a test circuit for testing the lsi?s internal memory. use of the memory bist provides numerous advantages, including those specified below. ? eliminates the need for customers to design a memory test circuit ? allows the number of external pins for memory testing to be reduced ? capable of testing memory at an actual high operating speed ? allows the time required for memory testing using an lst tester to be reduced in addition, it offers versatile optional func tions such as a bypass circuit (transparent circuit) for memory inputs, as a means of in creasing fault detection rates for the entire chip. *1 note *1: if fault detection rates are to be incr eased, the lsi must be modified so as to be suitable for scan testing following the insertion of a bypass circuit. if it is necessary to make the entire chip suitab le for scan testing, a bypass circuit must also be optionally included in the memory bist. 8.5.1 outline of the memory bist circuit block the memory bist generates a circuit known as a ?collar? in the periphery of the memory, and a circuit known as a ?controller? that co ntrols the collar. if multiple pieces of memory are included, multiple collars are gene rated that can be controlled by a single controller (for purposes of overhead reduction). in addition, a bypass circuit or a fault diagnosis function may be added to inputs for memory as necessary. under no circumstances can the number of elements inserted for memory inputs exceed the number of multiplexer stages, however. when the memory bist is inserted, a circuit block becomes similar to that depicted in figure 8-9, and a bypass circuit becomes similar to that depicted in figure 8-10 (in both, memory bist design is applied to synchronous-type ram).
chapter 8 circuit design that takes testability into account standard cell s1k70000 series epson 335 embedded array S1X70000 series figure 8-9 block diagram after insertion of the memory bist circuit figure 8-10 bypass circuit 8.5.2 outline of the memory-bist-circuit test sequence memory testing is started by applying a clock to the memory bist and memory devices, and driving the enable signal (mbist_en) from low to high. immediately after testing begins, the test judge signal (mbist_go) goes high and the test end signal (mbist_done) goes low. provided that the test is performed normally, the judge and end signals do not change state until completi on of the test. conversely, if any problem is encountered in the test, the judge signal goes low (once the judge signal has gone low, it never returns high). testing is completed when the end signal goes high. if the judge signal is held high at this time, the test has terminated normally; if it is held low, a problem has been encountered in the test. the test sequence of the memory bist is similar to that depicted in figure 8-11.
chapter 8 circuit design that takes testability into account 336 epson standard cell s1k70000 series embedded array S1X70000 series test completed when mbist_done goes high when in error, mbist_go is dropped low (not released back high) (when terminated normally) when normal, mbist_go is held high (when terminated in error) test period figure 8-11 test sequence of the memory bist circuit 8.5.3 types of memory suitable for memory bist the types of memory available from epson that are suitable for the memory bist are listed below. (*2) ? synchronous 1-port/2-port sram of the basic cell type ? synchronous 1-port/dual-port sram of the high-density type ? one-port sram of the large-capacity type ? synchronous mask rom (*3) note *2: certain types of memory other than those listed above are suitable for bist. for more information, contact the sales division of epson. *3: for mask rom, if rom data is modifi ed, the bist circuit must be regenerated, as it contains the expected signatured values. 8.5.4 estimating the memory bist circuit size the circuit size of the memory bist circuit varies significantly depending on the type and number of srams, the test configuration, the bist-circuit options, and the limitations on logic synthesis. for more information, contact the sales division of epson. for estimates, refer to table 8-3, which lists the typical memory bist circuits and circuit sizes in the respective cases. table 8-3 circuit sizes of typical memory bist circuits typical memory configuration number of pcs. number of collar gates number of controller gates total synchronous 1-port 1024 words x 8 bits 5 1210 1553 2763 synchronous 1-port 1024 words x 8 bits 10 2420 1723 4143 synchronous 1-port 1024 words x 8 bits 20 4840 1888 6728 synchronous 1-port 1024 words x 8 bits 40 9680 2219 11899 synchronous 1-port 1024 words x 32 bits 5 2970 3471 6441 synchronous 1-port 1024 words x 32 bits 10 5940 4081 10021 synchronous 1-port 1024 words x 32 bits 20 11880 4624 16504 synchronous 1-port 1024 words x 32 bits 40 23760 5766 29526 synchronous dual-port 1024 words x 8 bits 5 2500 1571 4071 synchronous dual-port 1024 words x 8 bits 10 5000 1745 6745
chapter 8 circuit design that takes testability into account standard cell s1k70000 series epson 337 embedded array S1X70000 series typical memory configuration number of pcs. number of collar gates number of controller gates total synchronous dual-port 1024 words x 8 bits 20 10000 1910 11910 synchronous dual-port 1024 words x 8 bits 40 2000 2254 22254 synchronous dual-port 1024 words x 32 bits 5 6335 3491 9826 synchronous dual-port 1024 words x 32 bits 10 12670 4102 16772 synchronous dual-port 1024 words x 32 bits 20 25340 4646 29986 synchronous dual-port 1024 words x 32 bits 40 50680 5802 56482 ? the number of gates shown above is the resu lt of logic synthesis performed using the basic cell-type msi cell. ? in each case, the circuit is configured with a single controller. ? in each case, a bypass circuit (for scan testing) is added. ? if 1-port and dual-port memory coexist, estimate the necessary number of gates by adding up both gate numbers for collar gates, or using dual-port gate number alone for controller gates. 8.5.5 about memory bist circuit design at epson, memory bist is inserted for the rtl or gate-level netlists received from customers. to facilitate this operation, customers will be requested to exercise caution in the design of a circuit, as described below. 1) test input/output pins for the memory bist in memory bist, bist_clk is normally substituted for by the memory clock (system clock). therefore, the test input/output pins required for the memory bist are basically the following three (*3) : ? mbist_en (mode set signal): input pin ... dedicated pin recommended (or can be shared with another pin if the necessary conditions are met) ? mbist_go (test judge signal): output pin ... can be shared with another pin ? mbist_done (test end signal): output pin ... can be shared with another pin furthermore, if a bypass circuit is optionally included, the pin specified below is required. however, this pin is unnecessary if it is separately assigned when the entire chip is made suitable for scan testing. ? lv_tm (scan-mode set signal): input pin ... can be shared with the scan-mode set pin for the entire chip to facilitate design, we recommend that mbist_en be provided as a dedicated pin. if it is necessary that mbist_en be shared with another pin, the entire circuit, including the customer?s circuit, must be configured so as to satisfy the following initialization requirements: ? memory bist can be set to mbist_en = 0 (normal-operation mode) and bist_clk (= memory clock) can be applied to at least two pulses. ? after the above operation has been conducted, the memory bist can be set to mbist_en = 1 (bist mode) and bist_clk (= memory clock) can be applied continuously.
chapter 8 circuit design that takes testability into account 338 epson standard cell s1k70000 series embedded array S1X70000 series 2) restrictions during normal operation circuits are added in the periphery of memory when memory bist is applied, and this peripheral circuit must be initialized in normal operation, not just in bist mode (unless it is initialized, the memory cannot be accessed during simulation). therefore, the entire circuit, including the customer?s circuit, must be configured so as to satisfy the following initialization requirements (*4) : ? memory bist can be set to mbist_en = 0 (normal-operation mode) and bist_clk (= memory clock) can be applied to at least two pulses. 3) skew adjustment of the memory clock because the memory bist circuit (collars and controller) is comprised of multiple sequential circuits, clock skews must be ad justed between the memory?s clock signal and the clock signals for the internal flip -flops of the bist circuit (collars and controller). therefore, make sure the clock for the memory to which memory bist is to be applied is designed for optimization by clock tree synthesis. for more detailed contents of the design, refer to the application cases described below. (1) if multiple system clocks are associated with memory operation in the circuit, clock skews are generally adjusted by assigning one bist controller to each clock (multiple bist controllers as a whole). in such a case, the circuit must be configured so as to allow clock skews to be adjusted individually for each memory clock. (2) even when multiple system clocks are associated with memory operation in the circuit, if the clocks can be integrated into one line for operation in bist mode, the memory bist circuit can be configured with a single bist controller. in such a case, the circuit must be configured so as to allow clock skews to be adjusted for all memory clocks in bist mode. (3) in cases in which multi-port memory has different clocks for the respective ports, the clock skews must be adjusted using a multiplexer. in such a case, insert a multiplexer for clocks other than the selected clock. note *3: although the bist circuit requires bist_clk as its clock input when operating singly, bist_clk can normally be substituted for by the memory clock (system clock) or other internal clock, as initia lization, skew adjustment, and the like are required. furthermore, if the bist circ uit is configured with multiple bist controllers, there must be as many mbist_go and mbist_done outputs as the number of bist controllers. for mb ist_en input, however, a single input will suffice. *4: this circuit configuration can also include initialization of the customer?s own circuit. if the required circuit config uration cannot be designed, contact the sales division of epson. 8.5.6 other ? memory bist can be applied without concer n for the restrictions associated with hierarchical design, regardless of where in the customer?s circuit memory exists. ? it does not matter whether the customer?s circuit contains memory for which memory bist is applied or memory for which memory bist is not applied.
chapter 8 circuit design that takes testability into account standard cell s1k70000 series epson 339 embedded array S1X70000 series ? before memory bist can be inserted, customers will be requested to furnish epson with temporary rtl or temporary netlists for the purpose of preliminary examination. a period of approximately three days is required for preliminary examination. following completion of preliminary examinat ion, a period of approximately one day is required for insertion of the bist circuit. furthermore, to facilitate insertion of memory bist, customers are requested to present the checksheet attached herein, along with said temporary rtl or temporary netlists.
chapter 8 circuit design that takes testability into account 340 epson standard cell s1k70000 series embedded array S1X70000 series ! checksheet (1) have you prepared an outline drawing of the circuit blocks? yes/no (2) have you specified the cells for me mory bist in the circuit? yes/no (3) have you integrated memory clocks into one line for the purpose of bist? yes/no (however, this is not an essential requirement.) (4) have you multiplexed clocks for multi-po rt memory for the purpose of bist? yes/no (5) sram information memory type instance name of memory net name of memory clock * *: if you?ve integrated clocks into one line or multiplexed clocks for the purpose of bist, clearly specify the bist mode. (6) test-pin information pin name external pin name, etc. bist_clk shared-input-pin name: clock net name: , instance name of module: mode setting: mbist_en dedicated-input-pin name: net name: mbist_go shared-output-pin name: instance name of mux: mbist_done shared-output-pin name: instance name of mux: ! explanation of the checksheet (1) outline drawing of circuit blocks prepare an outline drawing for memory-clock-related circuits, like the one shown in figure 8-12. figure 8-12 outline block diagram ? when combining memory clocks into a single line (with clocks for multi-port memory used in common) ? when using separate memory clock lines (with clocks for multi-port memory used in common)
chapter 8 circuit design that takes testability into account standard cell s1k70000 series epson 341 embedded array S1X70000 series (2) circuit description in the design of a circuit, specify the dedicated memory bist pins and multiplexers for shared pins in the rtl or netlist. at this time, make sure the dedicated input pins have their outputs written as ?open,? and that the dedicated output pins have their inputs written as ?pull-down.? similarly, make sure the multiplexers for shared pins have their select signal written as ?mbist_en? and their inputs on the bist side written as ?pull-down.? figure 8-13 shows an image of a circuit description. ? whe n mbist _en, mbist_ go, and mbist_ done are used as dedicated pins ? whe n mbist _en is use d as a dedicated pin and mbist_ go and mbist_ done are used as shared pins figure 8-13 image of a circuit description (3) memory clocks integrated into one line for the purpose of bist if the circuit uses multiple memory clocks and is configured so as to integrate those clocks into one line for operation in memory bist mode, please notify epson to that effect. in addition, provide detailed information on it in (5) and (6) below. (4) clocks for multi-port memory multiplexed for the purpose of bist if the circuit has multi-port memory, it is necessary that clocks for the respective ports be equal; otherwise, the clocks must be multiplexed for operation in memory bist mode. if you?ve multiplexed these clocks, please notify epson to that effect. in addition, provide detailed information on it in (5) and (6) below. (5) sram information provide information on the sram as shown in the checksheet description examples below. (6) test-pin information provide information on the test pin as sh own in the checksheet description example below.
chapter 8 circuit design that takes testability into account 342 epson standard cell s1k70000 series embedded array S1X70000 series ! checksheet description example 1: memory clocks integrated into one line (multi-port memory clocks multiplexed) (1) have you prepared an outline drawing of the circuit blocks? yes /no (2) have you specified the cells for memory bist in the circuit? yes /no (3) have you integrated memory clocks into one line for the purpose of bist? yes /no (however, this is not an essential requirement.) (4) have you multiplexed clocks for multi-port memory for the purpose of bist? yes /no (5) sram information memory type instance name of memory net name of memory clock* 1-port 1024 words x 8 bits top.sys1.sram1 sysclk1 1-port 1024 words x 8 bits top.sys1.sram2 sysclk1 1-port 1024 words x 8 bits top.sys2.sram3 sysclk2 1-port 1024 words x 8 bits top.sys2.sram4 sysclk2 dual-port 512 words x 16 bits top.sys3.sram5 sysclk3a, sysclk3b *: if you?ve integrated clocks into one line or multiplexed clocks for the purpose of bist, clearly specify the bist mode. (6) test-pin information pin name external pin name, etc. bist_clk shared-input -pin name: testclk clock net name: sysclk1; , instance name of module: sys1 clock net name: sysclk2; , instance name of module: sys2 clock net name: sysclk3a and sysclk3b; , instance name of module: sys3 mode setting: test = 1, mbist_en = 1, with clocks integrated into one and multiplexed mbist_en dedicated-input-pin name: mbist_enable net name: imbist_en mbist_go shared-output-pin name: signal1 instance name of mux: go_mux mbist_done shared-output-pin name: signal2 instance name of mux: done_mux
chapter 8 circuit design that takes testability into account standard cell s1k70000 series epson 343 embedded array S1X70000 series ! checksheet description example 2: memory clocks not integrated into one (multi-port memory clocks multiplexed) (1) have you prepared an outline drawing of the circuit blocks? yes /no (2) have you specified the cells for memory bist in the circuit? yes /no (3) have you integrated memory clocks into one line for the purpose of bist? yes /no (however, this is not an essential requirement.) (4) have you multiplexed clocks for multi-port memory for the purpose of bist? yes/no (5) sram information memory type instance name of memory net name of memory clock* 1-port 1024 words x 8 bits top.sys1.sram1 sysclk1 1-port 1024 words x 8 bits top.sys1.sram2 sysclk1 1-port 1024 words x 8 bits top.sys2.sram3 sysclk2 1-port 1024 words x 8 bits top.sys2.sram4 sysclk2 dual-port 512 words x 16 bits top.sys3.sram5 sysclk3a, sysclk3b *: if you?ve integrated clocks into one line or multiplexed clocks for the purpose of bist, clearly specify the bist mode. (6) test-pin information pin name external pin name, etc. shared-input-pin name: sysclk1 clock net name: sysclk1; , instance name of module: sys1 mode setting: none shared-input-pin name: sysclk2 clock net name: sysclk2; , instance name of module: sys2 mode setting: none bist_clk shared-input-pin name: sysclk3 clock net name: sysclk3a, sysclk3b; , instance name of module: sys3 mode setting: mbist_en = 1, with clocks multiplexed mbist_en dedicated-input-pin name: mbist_enable net name: imbist_en shared-input-pin name: signal1 instance name of mux: go_mux1 shared-input-pin name: signal2 instance name of mux: go_mux2 mbist_go shared-input-pin name: signal3 instance name of mux: go_mux3 shared-input-pin name: signal4 instance name of mux: done_mux1 shared-input-pin name: signal5 instance name of mux: done_mux2 mbist_done shared-input-pin name: signal6 instance name of mux: done_mux3
chapter 8 circuit design that takes testability into account 344 epson standard cell s1k70000 series embedded array S1X70000 series 8.6 function cell test circuits if function cells are used, a huge number of test patterns and a large amount of time are needed to confirm the operation of the entire circuit (including the customer?s circuit). for this reason, customers are requested, as in the case of ram, to design a test circuit so as to enable the function cells and the user circuit to be operated singly for the confirmation of circuit operation. please take the notes described below into consideration in the design of a test circuit. for more information, consult the function cell design guide. 8.6.1 test circuit structures (1) add a test circuit so as to enable the function cells to be individually separated from the user circuit and measurements to be taken for each block, with the pins of the function cells led out to the ic?s external pins. (2) even when inputs for the function cells are fixed to v ss or v dd , install a test circuit to allow inputs for testing. (3) even when the output pins of the function cells are unused, install a test circuit to enable all outputs of the function cells to be observed from the ic?s external pins. (4) do not combine the multiple output or input pins of the function cells for use as a single test-shared pin. (5) do not use a sequential circuit in the test circuit you are generating to test the function cells. (6) do not invert the input signals from the test input pins before they are supplied to the function cells. nor can the output signals of the function cells be inverted before they are forwarded to the test output pins. (7) if the input and output pins of the function cells are led out directly, as with the ic?s pins, there is no need to install a test circuit. 8.6.2 test patterns broadly classified, the following are the three types of test patterns: 1) test patterns for testing only the user circuit 2) test patterns for testing the entire circuit 3) test patterns for testing only the function cells of these test patterns, customers are requested to generate test patterns 1) and 2). it is not necessary for customers to generate test patterns 3). existing test patterns at epson will be used. note, however, that the function cell test patterns (epson?s test patterns) cannot be used by customers.
chapter 8 circuit design that takes testability into account standard cell s1k70000 series epson 345 embedded array S1X70000 series 8.6.3 test circuit data this information is required when the function cells are tested during simulation and shipping inspection. please provide epson with the following information on your test circuit: (1) clearly specify which pins of the ic are connected to which function cell pins in test mode. (2) if the test circuit is configured so as to enable multiple function cells to be tested on a single test pin, clearly specify the relationship between test modes and the function cell names selected. (3) in particular, if multiple instances of the same function cell are used, assign the function cell names in the drawing serial numbers and clearly specify which function cells are connected to the test pin. (4) clearly specify how the circuit can be switched to test mode. if function cells are used in your circuit, be sure to consult the function cell design guide in addition to this manual.
chapter 8 circuit design that takes testability into account 346 epson standard cell s1k70000 series embedded array S1X70000 series 8.7 scan design to prevent defective products from becoming mixed into the market, devices must be tested using test patterns that activate logic for testing. for large designs, however, this test method requires a huge number of man-hours. scan design provides one means of solving this problem. when generating test patterns with increased fault detection rates, it is helpful to base design on certain rule s and the execution of atpg (auto-test-pattern generation). this chapter describes the design rules to be followed in order to make the circuit suitable for scan testing (hereinafter referred to as ?scan?) and to use the atpg service from epson. because the implementation of sc an is greatly affected by the design configuration, it is important to follow these rules from the beginning in the design of a circuit. if any design contrary to these rule s is included, the purposes of atpg may be impaired and customers may therefore be unable to use this service. 8.7.1 about the scan circuit all registers (d-ffs, jk-ffs) included in your design are converted to scan-type registers in order to create a scan path (full-scan desi gn). then, through the use of this design, atpg (auto-test-pattern generation) is executed. this helps to generate test patterns featuring a high fault-detection rate. note: the test patterns generated by atpg are not intended for the verification of design specifications. transparent latches are not scanned. combination circuit : scan-type registers scan out scan in combination circuit figure 8-14 example of a scan circuit
chapter 8 circuit design that takes testability into account standard cell s1k70000 series epson 347 embedded array S1X70000 series 8.7.2 scan design flow the following shows the design flow in cases in which the circuit is scanned and atpg is executed at epson. to scan the circuit or execute atpg yourself, consult the sales division of epson. circuit design (synchronized design) check design rules (snrc) check scan rules (checksheet) ng ng yes yes generate full-scan circuit scanned netlist test pattern verification fault-detection rate p&r the following must be provided to epson: " gate-level netlist " scan design checksheet (attached at the end of this chapter) " clock tree synthesis checksheet (refer to section 7.3, "clock tree synthesis.") if a previously scan circuit is to be interfaced to epson, consult epson in advance. synchronized design is recommended. unless scan rules are taken into consideration, the scan service cannot be used. the basic elements of asic design are checked using the design-rule checker "snrc," which is included with the epson design kit epits. make sure the design rules for scan described in the next section are observed in your design. customers epson scan is implemented and atpg is executed. the generated netlists and test patterns are verified. the test patterns that have been confirmed as being good are used in shipping inspection. figure 8-15 scan design flow
chapter 8 circuit design that takes testability into account 348 epson standard cell s1k70000 series embedded array S1X70000 series 8.7.3 design rules the following section describes the design rules to be followed in order for the scan service to be used. if the desired fault-detection ra te is 90% or higher, make sure all of the contents described herein are reflected in your design. in addition, when interfacing your design to epson, make sure it is accompanied by the scan design checksheet attached at the end of this chapter. a. scan external pins for the circuit to be scanned, all of the external pins described below are required. ? scan-enable input pin (scanen) [dedicated pin] this dedicated external input pin selects between the ordinary data path (parallel operation) and the scan path (shift operation). it cannot be shared with ordinary functions or other mode functions. prov ide an input cell and external pin in the design for use as a dedicated external pin. ? scan-data input pins [shareable] these external input pins are used to set data in the scan registers that have been incorporated into the design by scan. there must be several instances of these input pins corresponding to the number of scan registers. prepare one input pin for every 300 to 500 scan registers. as ma ny of these input pins as the number of scan-data output pins are required. these pins may be shared with external input pins that are used in normal operation. however, clock pins, asynchronous set/reset pins, and analog signal input pins cannot be used. note that if any pin is shared, fan-out in its net increases. avoid sharing pins for critical paths. the scan-data input pins are connected to the external pins at epson during scan of the design. please specify the external input pin names that can be used for this connection. unless specified, pin assignments will be made by epson. ? scan-data output pins [shareable] these external output pins are used to ou tput the observation data from the scan registers that have been incorporated into the design by scan. there must be several instances of these output pins corresponding to the number of scan registers. prepare one output pin for ever y 300 to 500 scan registers. as many of these output pins as the number of scan-data input pins are required. these pins may be shared with external output pins that are used in normal operation (two-state output pins are recommended). however, analog signal output pins cannot be used. note that if any pin is shared, the number of cell stages in its net increases. avoi d sharing pins for critical paths. the scan-data output pins are connected to the external pins at epson when the design is scanned. please specify the external output-pin names that can be used for this connection. unless specified, pin assignments will be made by epson. ? scan clock input pin [same as an ordinary clock or dedicated pin] this clock input pin is used in the test patterns generated by atpg. because epson scan cells employ the mux scan type, this clock input pin must generally be the same system clock used in normal operation. however, if an internally generated clock exists, a dedicated clock pin for scan use may be required. for details, refer to paragraph b, ?clock design,? discussed later in this section.
chapter 8 circuit design that takes testability into account standard cell s1k70000 series epson 349 embedded array S1X70000 series ? atpg enable input pin (atpgen) [dedicated pin] this external input pin activates atpg run mode. if any design exists that requires that the state be fixed, or for th e outputs of blocks (including those that become black boxes during simulation), functional macros, and ram cells for which the internal logic becomes unstable, this pin must be used to fix (determine) the values. unless this procedure is used, the fault-detection rate decreases considerably. prepare this external input pin as a dedicated pin. b. clock design for the circuit to be scanned, clock design is very important. if the clock design is complicated, not only is the fault-detection rate reduced, but the generated test pattern also becomes unstable. in such a case, the intended purposes of scan and atpg cannot be achieved. therefore, we basically recommend synchronized design. follow the rules described below in the design of a clock. keep in mind, as well, that the clock lines require optimization by cts (clock tree synthesis). for details, refer to section 7.3, ?clock tree synthesis.? ? directly controllable structur e from the outside [essential] the scan clock must propagate from an external input pin to the internal registers without being distorted in the clock waveform. although it does not matter whether an internally generated clock is present during normal operation, there must logically be no internally generated clocks in atpg run mode. examples are shown in figures 8-16 through 8-19. ideal clock shown in figure 8.16 is an example of an ideal clock design. if the circuit is designed from the beginning in such a way that the clock for all registers is supplied from an external input pin as in this case, processing the clock lines by cts makes it unnecessary to correct them for purposes of scan design. because clock-line corrections affect the timing of the entire circuit, it is important to take scan design into consideration from the beginning of your design work. clock figure 8-16 ideal clock processing of internally generated clocks 1 if an internally generated clock is used, insert a circuit that bypasses the clock-generating part (see figure 8-17) and employ a design that applies cts processing to atpg run mode. however, employment of this processing requires caution, as mux cells are added to the clock lines in that processing, which may make it difficult to adjust the timing with the clocks used for other circuit blocks.
chapter 8 circuit design that takes testability into account 350 epson standard cell s1k70000 series embedded array S1X70000 series clock ? ? ? clock atpgen ? atpg mode :atpgen=1 cts special cell crbf figure 8-17 processing of internally generated clocks processing of internally generated cl ocks 2 (treatment of clock gating) to avoid adding cells to the clock line for an internally generated clock, there is a method for controlling the enable line by which the clock signal is gated. an example is shown in figure 8-18. adoption of this method eliminates the need for mux cells placed in the clock line as in figure 8-17, and therefore helps create a design with relatively small clock skew. clock clock atpgen crbf atpg mode :atpgen=1 cts special cell figure 8-18 treatment of clock gating relationship between multiple clock groups for a design with multiple clock blocks including internally generated clocks, the usable treatment method may be limited, depending on the relationship between those clock blocks. unless the circuit blocks using different clocks are physically interconnected, there will be no problems. however, caution must be exercised if for reasons of design specification they comprise either a false path (although physically connected, there is no logical communication during normal operation, or timing is not taken into consideration during logic synthesis) or a multi-cycle path (asynchronously communicating, with several latch misses tolerated). clock a b c clock generator figure 8-19 (a) example with multiple internally generated clocks
chapter 8 circuit design that takes testability into account standard cell s1k70000 series epson 351 embedded array S1X70000 series shown in figure 8-19 (b) is an example of a corrective measure that can be taken in cases in which blocks a, b, and c are not physically interconnected. because there are no physical connections, clocks can be processed collectively without causing a timing problem. if clock skews in each group are resolved by cts, timing during atpg run will be stabilized. clock a b c atpgen atpg mode :atpgen=1 cts special cell crbf clock generator figure 8-19 (b) example of a corrective measure for multiple internally generated clocks 1 (when blocks are not interconnected) * assumed in this example is a method that helps to efficiently create the scan chain by applying cts processing to three clocks collectively. however, if the blocks are physically connected, though there may be no problems from a specification perspective, correctiv e measures for atpg must be taken. figure 8-19 (c) shows an example of treatment in such a case. because atpg generates test patterns at random, they may cause an operation in which signals are communicated via a false path that is nonexistent in the specification. in such a case, the timings associated with the data paths between blocks a, b, and c cannot be guaranteed. therefore, to ensure that the timings will be controlled for each internally generated clock, bypass these clocks on a one-for-one basis to external pins. in addition, we recommend the use of dedicated pins for these bypass clock pins. if the use of shared pins is unavoidable, the clock signals entering from those shared pins must be gated to prevent them from propagating to other than the registers (see figure 8-19 (d)). in such a case, because the values of those nets are fixed, the fault-detection rate decreases. clock atpgen atpg mode :atpgen=1 cts special cell clock generator crbf crbf crbf scanclk1 scanclk2 scanclk3 clock generator a b c figure 8-19 (c) example of a corrective measure for multiple internally generated clocks 2 (when blocks are interconnected)
chapter 8 circuit design that takes testability into account 352 epson standard cell s1k70000 series embedded array S1X70000 series input atpgen scanclock to scan ffs figure 8-19 (d) example of scan-clock processing using shared pins ? as few clock lines as possible [recommended] if multiple clocks exist as in the above case, the amount of work to be performed by customers will increase, such as due to the need to change or add a design or an increase in the number of timing reverifi cation items. furthermore, the presence of multiple clocks may cause the length of test patterns to increase or the fault-detection rate to drop. reduce the number of clock blocks as much as possible in design. this should help increase the efficiency of work when testing is conducted later. ? minimized coexistence of rising and falling edges of a clock [recommended] if both rising and falling edges are used in each clock, the efficiency of scan operation and atpg run may decrease. in some cases, the fault-detection rate may drop. we recommend that scan clocks be designed using only one edge as much as possible. ? completely separated scan-clock signals and data signals [recommended] make sure the scan-clock signals and data signals are completely separated. if the scan-clock signals affect the data lines, clock signals and data signals cannot be controlled independently of each other, and faults therefore cannot be detected. c. asynchronous set/reset signals of registers [essential] a circuit is recommended in which the asynch ronous set/reset signals for the flip-flops and transparent latch cells can all be controlled directly from the outside. when asynchronous set/reset signals internally generated in the design are used, take the following into consideration: ? the signals cannot be asserted (= made active) for at least the period for which scanning remains enabled. ? when internally generated asynchronous set/reset signals are used, make sure they are fed directly from the flip-fl op outputs without being routed via combinational circuits, to ensure that mini mum pulses will not occur. if signals routed via combinational circuits are used, take the appropriate corrective measure by, for example, using gray code. * unless such a corrective measure is taken, problems such as reduced fault-detection rates or unstable test patterns may occur. d. handling of transparent latches [recommended] transparent latches are not converted into scan cells. avoid using transparent latches as much as possible, as they are detrimental to improving the fault-detection rate. when transparent latches are used, take the following into consideration: ? for the clock signals, take corrective measures similar to those discussed in paragraph b, ?clock design.?
chapter 8 circuit design that takes testability into account standard cell s1k70000 series epson 353 embedded array S1X70000 series ? make sure the off-state le vels of the transparent latches match those of other registers connected to the same clock line. example: through at the low level when the ff is for a rise operation (return to zero), or through at the high level when the ff is for a fall operation (return to one) however, if the scan clock is active on eith er edge or multiple instances of the scan clock exist, no improvements can be expected, depending on the design configuration. in such a case, take the corrective measure described below. ? if the above two points cannot be taken into consideration in your design, make sure the latches are fixed to the through state in atpg run mode. at this time, care must be taken to avoid creating a feedback loop. * unless these corrective measures are taken, problems such as reduced fault-detection rates or unstable test patterns may occur. e. unusable cells or design [essential] in scan design, use of the cells specified below is inhibited. ? rs latch cells ? flip-flops with asynchronous set/reset functions ? multi-bit flip-flop cells ? scan-type flip-flops ? combinational feedback loops (including those routed via external bi-directional pins) ? differentiation circuits (pulse generators) ? self-reset circuits ? sequentially controlled atpg mode (use the atpg enable input pin for control.) * unless these corrective measures are taken, problems such as reduced fault-detection rates or unstable test patterns may occur. f. when using functional macros or ram cells [recommended] because in atpg functional macros and ram cells are handled as black boxes, it is impossible to observe the stages preceding the macros and control those following the macros. therefore, the fault-detection rate is reduced considerably. to counteract this, we recommend inserting scanable flip-flops in locations immediately preceding and following the macro cells. this will bring about a significant improvement when the circuit is tested later (figure 8-20 (a)). if this is impossible from a specification standpoint, add a mode in which the macros are bypassed and configure a circuit by which the output level can be fixed (figure 8-20 (b)).
chapter 8 circuit design that takes testability into account 354 epson standard cell s1k70000 series embedded array S1X70000 series macro clock macro atpgen atpg mode: atpgen = 1 a b figure 8-20 example of macro cell processing g. internal bus [recommended] do not use bus circuits comprised of internal 3-state cells. rather, we recommend that the circuit be designed using selector logic. when using sa id bus circuits, make sure they are fixed in such a way that the bus lines are not switched over and only one line is activated in atpg run mode. (if bus circuits are used, the fault-detection rate decreases, as such circuits have fixed values.) h. external cells with va rious controls [essential] some types of external input and external bi-directional cells available in the s1k70000 series come equipped with various control pins. these pins must be fixed using the atpg enable input pin. follow the procedure described below to process these pins. ? pull-up/pull-down control pin (pc pin) fix this pin to the off state using the atpg enable input pin (atpgen). (pc = 1 when atpgen = active) ? gating signal (c pin) fix this pin to the through state using the atpg enable input pin (atpgen). (c = 1 when atpgen = active) i. other ? approximately 7 days are required for scan work (scan insertion to verification) at epson after netlists created in accordance with the design rules are received. ? in scan design, optimization by cts is essential. please make sure the clock tree synthesis checksheet attached in section 7.3, ?clock tree synthesis,? is included with the netlists presented to epson.
chapter 8 circuit design that takes testability into account standard cell s1k70000 series epson 355 embedded array S1X70000 series scan design checksheet (1/2) this checksheet includes the contents we would like you to confirm before using scan or atpg services from epson. fill out this checksheet and present it to epson. without this checksheet, scan and atpg services cannot be used. information on scan design and the results of the design check are provided below. date filled in: ________ (month) _________ (day) 200_____ company name: _______________________________________ your name: ___________________________________________ ! design information # top block name: _________________________ # desired fault-detection rate: % ! pin information # atpg-enable pin names and active edges (rise/fall) pin name 1: ______________________ (rise/fall) pin name 2: ______________________ (rise/fall) pin name 3: ______________________ (rise/fall) # scan-enable pin names and active levels (high/low) pin name 1: ______________________ (high/low) pin name 2: ______________________ (high/low) pin name 3: ______________________ (high/low) # scan-clock input-pin names and active levels (high/low) pin name 1: ______________________ (high/low) pin name 2: ______________________ (high/low) pin name 3: ______________________ (high/low) # scan-data input-pin name pin name: _______________________________________________________ # scan-data output-pin name pin name: _______________________________________________________ # asynchronous set/reset pin names and active levels (high/low) pin name 1: ______________________ (high/low) pin name 2: ______________________ (high/low) pin name 3: ______________________ (high/low)
chapter 8 circuit design that takes testability into account 356 epson standard cell s1k70000 series embedded array S1X70000 series scan design checksheet (2/2) ! check items (mark the applicable items with a check.) # $ the scan-clock pins have been treated in accordance with the design rules described in section 8.7.3, paragraph b. # $ asynchronous set/reset signals of registers have been treated in accordance with the design rules described in section 8.7.3, paragraph c. # transparent latches (select one of the following.) $ not used $ treated in accordance with section 8.7.3, paragraph d $ not treated in accordance with section 8.7.3, paragraph d. a reduction in the fault-detection rate is acknowledged. $ other: ____________________________________________________________________ # cells or circuits the use of which is inhibited as described in section 8.7.3, paragraph e, do not exist. # functional macros or ram cells (select one of the following.) $ not used $ treated in accordance with section 8.7.3, paragraph f $ not treated in accordance with section 8.7.3, paragraph f. a reduction in the fault-detection rate is acknowledged. $ other: ____________________________________________________________________ # internal 3-state bus (select one of the following.) $ not used $ treated in accordance with section 8.7.3, paragraph g $ not treated in accordance with section 8.7.3, paragraph g. a reduction in the fault-detection rate is acknowledged. $ other: ____________________________________________________________________ # external cells with various control pins (select one of the following.) $ not used $ treated in accordance with section 8.7.3, paragraph h $ not treated in accordance with section 8.7.3, paragraph h. a reduction in the fault-detection rate is acknowledged. $ other: ____________________________________________________________________ # other ______________________________________________________________________________ ______________________________________________________________________________ ______________________________________________________________________________ ______________________________________________________________________________
chapter 8 circuit design that takes testability into account standard cell s1k70000 series epson 357 embedded array S1X70000 series 8.8 boundary scan design a boundary scan (jtag) insertion service is available from epson. when this service is used, an ieee1149.1-compliant boundary scan circuit and a control circuit (tap controller) are inserted in the periphery of the logic circuit. at the same time, bsdl files that contain information on those circuits are presented to customers. because the inserted boundary-scan-function patterns are created by epson, it is not necessary for customers to create patterns for the boundary scan circuit. 8.8.1 boundary-scan design flow circuit design check design rules (snrc) check rules (checksheet) yes yes insert boundary scan generate test pattern and bsdl for confirmation of boundary scan circuit boundary-scan inserted netlist bsdl verification the following must be presented to epson: " gate-level netlist " design information sheet (attached at the end of this section) the basic elements of asic design are checked using the design-rule checker "snrc" included with the epson design kit, epits. please conduct a check to confirm that the design rules for boundary scan described in the next section are observed in your design. customers epson the generated netlists and test patterns are verified. the test patterns that have been confirmed to be good are used in shipping inspection. test pattern to customers figure 8-21 boundary-scan design flow
chapter 8 circuit design that takes testability into account 358 epson standard cell s1k70000 series embedded array S1X70000 series 8.8.2 instructions the jtag instructions specified below are supported. table 8-4 supported instruction codes instruction code sample/preload 0...10 bypass 1...11 extest 0...00 clamp selectable as desired (*1) highz selectable as desired (*1) idcode 0...01 note *1: unless explicitly specified, epson will se lect the appropriate code. no duplicate codes can be specified. instruction bit sizes may be selected in the range of 2 to 32 bits. unless explicitly specified, epson will determine the appropriate instruction size. 8.8.3 estimating the number of gates the extent of the increase in the number of ga tes as a result of boundary scan insertion depends on the asic series used and the instructions and bit sizes supported. estimate the approximate number of gates using the information given below. table 8-5 gate-count estimation (sog equivalent) boundary scan block gate counts tap controllers + miscellaneous gates approx. 1000 (bcs) input pin when using normal cells: approx. 30 (bcs/pin) when using dedicated observation cells: approx. 15 (bcs/pin) two-state output pin approx. 35 (bcs/pin) 3-state output pin approx. 65 (bcs/pin) bi-directional pin a pprox. 95 (bcs/pin) 8.8.4 design rules for the boundary scan service to be used, it is necessary that customers? logic circuits be designed in observance of the restrictions described below. before releasing data to epson, please be sure to confirm the circuit information using the boundary scan checksheet attached at the end of this chapter, and to fill out and present the design information sheet to epson. please note th at if any circuit viol ating the restrictions exists, this service cannot be used. a. coexistence with dc/ac easy-to-test circuits inhibited coexistence with the easy-to-test circuits described in section 8.3, ?test circuit which simplifies dc and ac testing,? is inhibited. to be suitable for the boundary scan service, a design cannot have dc/ac easy-to-test circuits inserted in it.
chapter 8 circuit design that takes testability into account standard cell s1k70000 series epson 359 embedded array S1X70000 series b. character strings usable for external pins due to the rules for the bsdl file format, external pin names are subject to the following limitations: ? only alphanumeric characters (a to z, a to z, 0 to 9) and the underscore (_) can be used. ? the characters are not case-sensitive (for example, clk and clk are assumed to be the same). ? the first character must always be a letter (for example, 0clk and _clk are not accepted). ? the underscore cannot be used in succession (for example, sys__clk is not accepted). ? the character string cannot end with an underscore (for example, clk_ is not accepted). c. preparation of dedicated external pins the boundary scan circuit always requires fi ve dedicated external pins. insert these external pins in your design in accordance with the rules described below. ? clock (tck) this is a clock pin for the boundary scan circuit. prepare an input cell and confirm that its output port is not connected. ? mode select (tms) this is a mode select pin for the boundary scan circuit. prepare an input cell and confirm that its output port is not connected. for this input cell, use an input cell with pull-up. ? data input (tdi) this is a scan-data input pin for the boundary scan circuit. prepare an input cell and confirm that its output port is not connected. for this input cell, use one with pull-up. ? data output (tdo) this is a scan-data output pin for the boundary scan circuit. use a 3-state output cell and confirm that its input port is tied low to gnd. ? reset (trst) this is an asynchronous reset pin for the boundary scan circuit. prepare an input cell and confirm that its output port is not connected. for this input cell, use one with pull-up. ibc u1 ( .pad(tck) ); // ibc: normal input cell ibcp1 u2 ( .pad(tms) ); // ibcp1: input cell with pull-up ibcp1 u3 ( .pad(tdi) ); ibcp1 u4 ( .pad(trst) ); tb1 u5 ( .pad(tdo), .a(1?b0), .e(1 ?b0) ); // tb1: 3-state output cell figure 8-22 example of dedicated-pin description (written in verilog)
chapter 8 circuit design that takes testability into account 360 epson standard cell s1k70000 series embedded array S1X70000 series d. regarding hierarchical blocks make sure the hierarchical blocks in the netlist are configured as shown below. note that, following boundary scan insertion, hi erarchical blocks such as a tap controller are added. ? place i/o cells in the top block. ? place other logic cells in a sub-block one layer below that as much as possible. figure 8-23 image of a hierarchical block configuration e. regarding i/o-cell types if the design includes one of the following types of i/o cells, the boundary scan service cannot be used: ? i/o cells with test mode ? gated input cells ? open-drain output cells ? i/o cells with pull-up/pull-down registor f. external pins handling analog signals boundary scan cells are not inserted for oscillation-circuit input/output pins or external pins that handle analog signals. g. multibonding and multipads if the design includes multibonding or mult ipads, the boundary scan service cannot be used.
chapter 8 circuit design that takes testability into account standard cell s1k70000 series epson 361 embedded array S1X70000 series boundary scan checksheet please confirm the check items listed below before interfacing to epson, and present the design information sheet shown on the next page to epson. please note that if any circuit violating these check items exists or an y information is omitted, the boundary scan service cannot be used. please confirm the following items before presenting netlists to epson: (a) the supported range of instructions complies with table 8-4. (b) the circuits described in section 8.3, ?test circuit which simplifies dc and ac testing,? cannot coexist. (c) confirm that the external pin names comply with section 8.8.4, paragraph b ?character strings usable for external pins.? (d regarding dedicated pins (i) conduct a check to confirm that five dedicated pins already exist in the netlist. (ii) for the tms, tdi, and trst equivalent pins, use input cells with pull-ups. (iii) for the tdo equivalent pin, use a 3-state output cell. (iv) conduct a check to confirm that the dedicated pins are not shared with any other functions. (e) place i/o cells in the top layer. (f) do not use the i/o cells listed in section 8.8.4, paragraph e. (g) boundary scan cells cannot be inserted for oscillation-circuit input/output pins or external pins that handle analog signals. (h) conduct a check to confirm that multibonding and multipads are not used.
chapter 8 circuit design that takes testability into account 362 epson standard cell s1k70000 series embedded array S1X70000 series design information sheet (fill out this sheet and present it to epson by the time the design is released.) information on boundary scan design is provided below. date filled in: ________ (month) _________ (day) 200____ company name: ______________________________________ your name: __________________________________________ ! design information # top block name: _______________ 1. desired instructions (select the desired instructions.) % essential instructions $ clamp instructions $ highz instructions $ idcode instructions codes comply with table 8-4. your desired code ____________ (*1) your desired code ____________ (*1) codes comply with table 8-4. 2. instruction bit size (select the desired size.) $ not specified $ specified determined by epson bit size ____________ bits (*2) 3. selection of boundary scan cells to choose the boundary scan cells to be insert ed, supply the informatio n specified below. unless explicitly specified, the fo llowing will be ap plied at epson: ? dedicated observation cells may be used, if necessary, for the system clock or asynchronous reset bits. ? boundary scan cells will not be inserted for input and output pins handling analog signals. ? external pin names for which dedicated observation cells are used ? external pin names for which boundary scan cells are not to be inserted ? other ? dedicated-pin information (enter the pin names corresponding to each pin.) tck: _________ tms: _________ tdi: __________ tdo: _________ trst: _________ ? user circuit information system clock name: asynchronous reset signal name: top block name: sub-block name (*3) : notes *1 do not select duplicate codes for any inst ruction. unless explicitly specified, codes will be assigned by epson. in addition, make sure the bit size matches that in item 2, ?instruction bit size.? *2 bit sizes can be specified in the range of 2 to 32 bits. *3 enter all sub-blocks that exist immediately below the top block. if any buffers or delay elements inserted for delay adjustment or the like exist in the top block, enter their instance names.
chapter 9 test pattern generation standard cell s1k70000 series epson 363 embedded array S1X70000 series chapter 9 test pattern generation following completion of logic design, generate test patterns. test patterns are used not only for simulation to confirm circuit operation, but also for the shipping inspection of a product. to improve the quality of the shipped product, take the following into account in the generation of test patterns. 9.1 testability consideration because test patterns are used for the shipping inspection of a product, they must be generated so as to enable the entire internal circuit of the lsi to be tested. if the lsi?s internal circuit contains any untested part, there is a possibility of a defective product being shipped, as that part of the product cann ot be tested during the shipping inspection. generally speaking, the entire internal circuit of the lsi cannot be tested easily. therefore, it is important that the testability of the lsi be taken into consideration from the beginning of circuit design. by inserting epson-recommended test circuits in your design, the dc testing and various other conditions required for test patterns can be set easily. for details, refer to section 8.3, ?test circuit which simplifies dc and ac testing,? in chapter 8. 9.2 usable waveform modulations test patterns are normally comprised of lo gic 0s and 1s. however, when circuit operation is simulated or the circuit is tested using an lsi tester, the input waveform can have a delay inserted or its waveform changed. the following two types of waveforms can be used in the creation of test patterns. nrz (non-return-to-zero) normally used for signals other than the clock. this type of waveform can change state once per test period and can be given a delay. rz (return-to-zero) use this for clock signals and the like. because this type of waveform can generate a positive or negative pulse within a test period, it aids in the efficient creation of clock signals. it can be given a delay, as with nrz. test rate rz waveform output-waveform nrz waveform strobe input delay pulse width figure 9-1 limitations on timing settings
chapter 9 test pattern generation 364 epson standard cell s1k70000 series embedded array S1X70000 series 9.3 constraints on test patterns for simulation during timing design, a test pattern is used that has been set to the actual operating frequency. because this test pattern is also used for the shipping inspection of a product, it must be adapted to the constraints of the lsi tester. make sure the test pattern is created in conformity with the constraints described below. 9.3.1 test rate and event counts the test rate must be 100 ns or more, in 1- ns units (the recommended period is 200 ns). furthermore, the test period must be defined so as to satisfy the strobe constraints specified in section 9.3.5. note that ther e are constraints on the event counts of lsi testers. number of events per test pattern: up to 256k events number of test patterns: up to 30 patterns total number of events in test patterns: up to 1m events 9.3.2 input delay (1) range of input delays 0 ns input-delay value < strobe point define the input delay within the above range in 1-ns units. for the constraints on strobe points, refer to se ction 9.3.5, ?strobes.? (b) phase difference in input delay 3 ns or more (c) types of input delays there may be up to 8 types of input delays in one test pattern. 0-ns delays are counted as one type. if any delay value in an rz waveform is the same as that in an nrz waveform, they are counted as different types. if two rz waveforms or two nrz waveforms, respectively, have the same delay value, they are counted as the same type. 9.3.3 pulse width the pulse width in an rz waveform must be 15 ns or more. 9.3.4 input waveform format input waveforms can take on the values 0, 1, p, or n. the values p and n represent pulse inputs in an rz waveform. furthermore, the values p and n can only be defined in a combination of (0, p) or (1, n) for the same pin in one test pattern. no other combinations can be used. for bi-directional pins, an rz waveform can be applied only when they do not have an output state and are handled in the same way as input pins. 9.3.5 strobes the strobe-related constraints are as follows: (a) only one type of strobe can be defined in each test pattern. (b) the minimum value of the strobe must be such that in all events, at least 30 ns elapses after all output signals have changed state pursuant to the applied input signal.
chapter 9 test pattern generation standard cell s1k70000 series epson 365 embedded array S1X70000 series (c) the maximum value of the strobe must be smaller than the value of test rate ? 15 ns. (d) define the strobe in 1-ns units. 9.4 notes regarding dc testing test patterns are used not only for function testing, but also for dc testing in which output voltages and the like are measured. make sure the following items of dc testing can be performed when creating test patterns. dc testing is conducted in order to verify the dc parameters of the lsi. measurements for dc testing are taken at the end of a measurement event. for this reason, the measured pins cannot have their state changed in accordance with the strobe position in the measurement event. the following items of dc parameters are measured: (a) output-characteristic test (v oh , v ol ) the current drive capability of the output buffer is measured. the measured pin is driven to an output level at which measurement can be conducted, and the value of the voltage drop that occurs when the design ated current load is applied to the pin is measured. for the output-characteristic test to be performed, the test pattern must contain all possible states in which the measured pins can operate. furthermore, those states must be sufficiently stable that they will not change even when the test rate is infinitely extended in the measurement event. (b) quiescent-current test (i dds ) the quiescent current is the leakage current that flows in the power supplies of the lsi when its inputs are in a steady state. because the amount of this current is generally very small, it must be measured while no currents other than the leakage current are flowing. to meet this requir ement, all of the conditions listed below must be satisfied. note also that the test pattern must contain at least two points of events in which the quiescent current can be measured. (1) all of the input pins shall be in a steady state. (2) a high- or low-level signal shall be applied to or output from the bi-directional pins. (3) no oscillating or other operating parts shall exist in the circuit. (4) the internal 3-state buffer (internal bus) shall not be left floating or have no data or signal contention. (5) the ram, rom, and megacells sha ll not be in a current-flowing state. (6) a high-level signal shall be applied to the input pins with pull-up resistors. (7) a high-level signal shall be applied to or output from the bi-directional pins with pull-up resistors. (8) the bi-directional pins with pull-down resistors shall be in input mode or outputting a low-level signal. (c) input-current test measurements are taken of the inputs for the input buffers. the items measured in this test are the input leakage current and the pull-up/pull-down currents.
chapter 9 test pattern generation 366 epson standard cell s1k70000 series embedded array S1X70000 series measurements in this test are performed by applying v dd - or v ss -level voltage to the measured pin, and then measuring the amount of current flowing in the pin. this means that a high- or low-level voltage is applied to the measured pin during measurement. for example, if a v dd -level (high-level) volt age is applied to the measured pin while input for it is held low, the measured pin changes state from low to high, causing the lsi to perform an unintended operation. for the input-current test, in an event in which input for the measured pin is held high in the test pattern, measurements must be taken by applying a v dd -level voltage to the measured pin, and in an event in which input for the measured pin is held low, measurement must be taken by applying a v ss -level voltage to the measured pin. therefore, an input-current test cannot be conducted unless the test pattern includes these states for the measured pin. the input-current test is further classified as follows: (1) input-leakage-current test (i ih , i il ) measurements are taken of the input currents for the input buffers without pull-up/pull-down resistors. the current flowing in the input buffer when a high-level voltage is applied to the buffer is referred to as ?i ih ,? and is guaranteed by the maximum current value. for this test to be conducted, the test pattern must include an event in which the input for the measured pin is held high. if the measured pin is a bi-directional pin, it must be in input mode and its input must be held high. the current flowing in the input buffer when a low-level voltage is applied to the buffer is referred to as ?i il ,? and is guaranteed by the maximum current value. for this test to be conducted, the test pattern must include an event in which the input for the measured pin is held low. if the measured pin is a bi-directional pin, it must be in input mode and its input must be held low. (2) pull-up current test (i pu ) the current flowing in the input buffer is measured using a pull-up resistor when a low-level voltage is applied to the buffer. for this test to be conducted, the test pattern must include an event in which the input for the measured pin is held low. if the measured pin is a bi-directional pin, it must be in input mode and its input must be held low. (3) pull-down current test (i pd ) the current flowing in the input buffer is measured using a pull-down resistor when a high-level voltage is applied to the buffer. for this test to be conducted, the test pattern must include an event in which input for the measured pin is held high. if the measured pin is a bi-directional pin, it must be in input mode and its input must be held high. (4) off-state leakage current (i oz ) measurements are taken of the leakage current flowing in the open-drain or 3-state output buffer when its output ente rs a high-impedance state. in this test, the measured pin is placed in a high-impedance state and measurements are taken of current values by applying a v dd -level and v ss -level voltage to the measured pin, respectively. therefore, the test pattern must include an event in which the measured pin enters a high-impedance state.
chapter 9 test pattern generation standard cell s1k70000 series epson 367 embedded array S1X70000 series 9.5 notes regarding the use of oscillation circuits the diagrams below show examples of osci llation circuits (steady and intermittent oscillations). g x d lin lot oscillation cell x a pad gate side signal drain side signal clock signal pad g x d lin lot oscillation cell x a pad gate side signal drain side signal clock signal pad enable signal e figure 9-2 examples of oscillation circuits for systems using oscillation circuits, in general, because the oscillation inverter?s drive capability is small and the oscillation circuit?s output waveform is affected by the load in the measurement environment, the waveform do es not precisely propagate to the gates in the stages following the oscillation circuit. to reproduce the simulation state using a tester, therefore, a corrective measure is taken in which reverse drive is applied (i.e., by supplying a waveform to the drain pin that is in phase with the signal output to the drain). if the oscillation inverter is comprised of an inverter, the reverse-drive signal, i.e., the signal to be entered from the drain, can be produced simply by entering a signal 180 degrees out of phase with the signal being applied to the gate. if comprised of a nand gate (known as an ?intermittent oscillator? or ?gated osc?), however, the signal to be entered cannot easily be determined from the gate signal alone. therefore, the reverse-drive waveform is determined based on the expected value of the drain pin. in this method, if the input waveform is an nrz waveform and has a strobe at the end of a test period, the expected value of the drain pin can be used directly for the input waveform to produce a reverse-drive waveform. in the case of an rz waveform, however, the expected value of the drain pin remains high or low regardless of whether the pin is oscillating or turned off, and a reverse-drive waveform cannot be determined by referring to the expected value of the drain pin. for systems using intermittent oscillators, therefore, take the following into consideration: 1. use of an rz waveform for the input signal is inhibited. 2. the clock signal cannot have its state changed by changing the enable signal.
chapter 9 test pattern generation 368 epson standard cell s1k70000 series embedded array S1X70000 series 9.6 regarding ac testing in ac testing, the elapsed time from when the state of any input pin changes until the change propagates to the output pin is measured. the measurement paths chosen by customers are used for ac testing. 9.6.1 constraints regarding measurement events ac testing is normally conducted using a test method known as the binary research method. for the measured pin (i.e., an output pin the state of which has changed), there can be only one location within the measurement event at which the measured pin changes state. (no measurements can be taken at the pins from which rz waveforms are output. nor can measurements be taken in cases in which hazards are output in the measurement event.) furthermore, the state changes of the signal that can be measured must be high to low or low to high (changes in which z is involved cannot be measured). it should also be noted that caution must be used in the selection of a measurement event in which no multiple output pins change state at the same time, or in which there is no signal contention between the bi-directional pins and the lsi tester. this is due to the fact that a simultaneous change in state or signal contention causes the lsi?s power supply to fluctuate greatly, which affects the output waveform at the measured pin, making precise measurement impossible. 9.6.2 constraints on the measurement location for ac testing limit the measurement locations in ac testing to four. 9.6.3 constraints regarding the path delay which is tested in the ac measurement path, the larger the de lay, the greater the measurement accuracy. make sure the delay time in the measured path is set to 30 ns or more, but does not exceed the strobe point under max conditions of test simulation. 9.6.4 other constraints (1) do not specify paths from the oscillation circuit. (2) specify paths that do not pass through the internal 3-state circuit (internal bus). (3) do not specify paths in which there is any bi-directional cell between the input buffer and the output buffer of the measured path. (4) if power supplies with two or more voltage ranges are used, limit the measured voltage in ac testing to one of those voltage ranges. 9.7 test patterns constraints for bi-directional pins due to constraints on tester performance, the bi-directional pins cannot be switched between input and output modes more than twice per event. therefore, make sure the test patterns created do not use rz waveforms to control the switching of input/output modes for the bi-directional cells. however, rz waveforms may be used only if the bi-directional pins do not have an output state and are handled in the same way as the input pins.
chapter 9 test pattern generation standard cell s1k70000 series epson 369 embedded array S1X70000 series 9.8 notes on device in a high-impedance state at epson, cmos devices are subject to the limitation that, when the input pins are in a high-impedance state, the device operation cannot be guaranteed and the high-impedance state is inhibited during simulation. to solve such high-impedance-related problems, i/o cells with pull-up/pull-down resistors are available from epson. however, the propagation delays in the pull-up/pull-down resistors of these cells are not taken into consideration in simulation for the reasons specified below. therefore, because operation cannot be precisely simulated, the non-input state for the bi-directional pins with pull-up/pull-down resistors in input mode is also inhibited during simulation. because the delay fluctuates significantly de pending on the external load capacitance because the pull-up/pull-down resistors are used only to avoid floating gates due to the high-impedance state at epson, test patterns are checked for the above contents prior to simulation through the use of an appropriate tool. if state z repr esenting a high-impedance state is detected, customers are requested to correct the test pattern. in such a case, for the aforementioned reasons, customers are also cautioned about the ?z? state on the bi-directional pins with pull-up/pull-down resistors, as well as for open-drain bi-directional pins. when test patterns are checked, all occurrences of the z state in the bi-directional pins are indicated by an error (not including the z state appearing on the 3-state and open-drain output pins). as a means of correcting the input pattern, a utility program is available from epson that replaces the z state on the aforementioned bi-d irectional pins with logic 1 when they come equipped with a pull-up resistor, or logic 0 when they come equipped with a pull-down resistor. if bi-directional pins in the x state are placed in input mode, the x state is propagated in simulation regardless of whether they have a pull-up or pull-down resistor, and is represented by ??? in the simulation result. customers are requested to correct occurrences of ??? before conducting resimulation. table 9-1 handling the signal at the bi-directional pins in simulation input pattern input/output mode simulation simulation result (output pattern) "x" input mode ?x? ??? "1", "h" input mode ?1? ?1? ?0?, ?l? input mode ?0? ?0?
international sales operations america epson electronics america, inc. - headquarters - 150 river oaks parkway san jose, ca 95134, u.s.a. phone: +1-408-922-0200 fax: +1-408-922-0238 - sales offices - west 1960 e. grand avenue ei segundo, ca 90245, u.s.a. phone: +1-310-955-5300 fax: +1-310-955-5400 central 101 virginia street, suite 290 crystal lake, il 60014, u.s.a. phone: +1-815-455-7630 fax: +1-815-455-7633 northeast 301 edgewater place, suite 120 wake?ld, ma 01880, u.s.a. phone: +1-781-246-3600 fax: +1-781-246-5443 southeast 3010 royal blvd. south, suite 170 alpharetta, ga 30005, u.s.a. phone: +1-877-eea-0020 fax: +1-770-777-2637 - design support centers - san jose asic design center 150 river oaks parkway san jose, ca 95134, u.s.a. phone: +1-408-922-0200 fax: +1-408-922-0238 europe epson europe electronics gmbh - headquarters - riesstrasse 15 80992 munich, germany phone: +49-(0)89-14005-0 fax: +49-(0)89-14005-110 d?sseldorf branch office altstadtstrasse 176 51379 leverkusen, germany phone: +49-(0)2171-5045-0 fax: +49-(0)2171-5045-10 uk & ireland branch office unit 2.4, doncastle house, doncastle road bracknell, berkshire rg12 8pe, england phone: +44-(0)1344-381700 fax: +44-(0)1344-381701 french branch office 1 avenue de l atlantique, lp 915 les conquerants z.a. de courtaboeuf 2, f-91976 les ulis cedex, france phone: +33-(0)1-64862350 fax: +33-(0)1-64862355 barcelona branch office barcelona design center edi?io testa adva. alcalde barrils num. 64-68 e-08190 sant cugat del vall?s, spain phone: +34-93-544-2490 fax: +34-93-544-2491 scotland design center integration house, the alba campus livingston west lothian, eh54 7eg, scotland phone: +44-1506-605040 fax: +44-1506-605041 asia epson (china) co., ltd. 23f, beijing silver tower 2# north rd dongsanhuan chaoyang district, beijing, china phone: 64106655 fax: 64107319 shanghai branch 7f, high-tech bldg., 900, yishan road, shanghai 200233, china phone: 86-21-5423-5577 fax: 86-21-5423-4677 epson hong kong ltd. 20/f., harbour centre, 25 harbour road wanchai, hong kong phone: +852-2585-4600 fax: +852-2827-4346 telex: 65542 epsco hx epson taiwan technology & trading ltd. 14f, no. 7, song ren road, taipei 110 phone: 02-8786-6688 fax: 02-8786-6660 hsinchu office 13f-3, no. 295, kuang-fu road, sec. 2 hsinchu 300 phone: 03-573-9900 fax: 03-573-9169 epson singapore pte., ltd. no. 1 temasek avenue, #36-00 millenia tower, singapore 039192 phone: +65-6337-7911 fax: +65-6334-2716 seiko epson corporation korea office 50f, kli 63 bldg., 60 yoido-dong youngdeungpo-ku, seoul, 150-763, korea phone: 02-784-6027 fax: 02-767-3677 gumi office 6f, good morning securities bldg. 56 songjeong-dong, gumi-city, 730-090, korea phone: 054-454-6027 fax: 054-454-6093 seiko epson corporation electronic devices marketing division ic marketing department ic marketing & engineering group 421-8, hino, hino-shi, tokyo 191-8501, japan phone: +81-(0)42-587-5816 fax: +81-(0)42-587-5624 ed international marketing department 421-8, hino, hino-shi, tokyo 191-8501, japan phone: +81-(0)42-587-5814 fax: +81-(0)42-587-5117
design guide s1k70000 / S1X70000 series epson electronic devices website electronic devices marketing division http://www.epsondevice.com this manual was made with recycle paper, and printed using soy-based inks. first issue june, 2002 printed march, 2003 in japan c b document code: 404538303


▲Up To Search▲   

 
Price & Availability of S1X70000

All Rights Reserved © IC-ON-LINE 2003 - 2022  

[Add Bookmark] [Contact Us] [Link exchange] [Privacy policy]
Mirror Sites :  [www.datasheet.hk]   [www.maxim4u.com]  [www.ic-on-line.cn] [www.ic-on-line.com] [www.ic-on-line.net] [www.alldatasheet.com.cn] [www.gdcy.com]  [www.gdcy.net]


 . . . . .
  We use cookies to deliver the best possible web experience and assist with our advertising efforts. By continuing to use this site, you consent to the use of cookies. For more information on cookies, please take a look at our Privacy Policy. X